- Vuonna 2024 puolijohteiden maailmanlaajuiset myyntitulot nousivat yli 600 miljardiin dollariin ja voivat nousta biljoonaan dollariin vuodessa vuoteen 2030 mennessä.
- Applen M1 Ultra -sirussa on 114 miljardia transistoria yhdellä sirulla.
- ASML on ainoa EUV-litografiakoneiden valmistaja; jokainen kone painaa noin 180 tonnia ja maksaa yli 300 miljoonaa dollaria.
- TSMC:n osuus maailman foundry-markkinoista oli noin 55 % vuonna 2023, Samsungin noin 15–20 %, ja pelkästään Taiwanilla oli noin 92 % maailman edistyneimmästä (<10nm) siruvalmistuskapasiteetista.
- Kolme suurinta Electronic Design Automation -toimittajaa—Synopsys, Cadence ja Siemens EDA—hallitsevat suunnitteluohjelmistoja, joilla miljardit transistorit asetellaan.
- Vuoden 2021 sirupula johti arviolta 210 miljardin dollarin menetyksiin autoteollisuuden myynnissä.
- Yhdysvaltain CHIPS Act (2022) varaa 52,7 miljardia dollaria suoraa rahoitusta kotimaiseen siruvalmistukseen sekä 25 %:n investointiverohyvitykset.
- Euroopan Chips Act (2023) pyrkii mobilisoimaan 43 miljardia euroa kaksinkertaistaakseen Euroopan sirutuotannon osuuden 20 %:iin vuoteen 2030 mennessä.
- Maailmanlaajuinen siruvalmistus tuotti noin 190 miljoonaa tonnia CO2-ekvivalenttia päästöjä vuonna 2024, ja yksi moderni tehdas voi kuluttaa jatkuvasti noin 100 MW sähköä.
- Vuoden 2024 puolivälissä 55 % Yhdysvaltain puolijohdetyövoimasta oli yli 45-vuotiaita, mikä korostaa lähestyvää osaajapulaa.
Puolijohteet – nuo pienet piisirut – ovat modernin elektroniikan aivot, joita löytyy kaikesta älypuhelimista ja autoista datakeskuksiin ja hävittäjiin. Vuonna 2024 puolijohteiden maailmanlaajuiset myyntitulot nousivat yli 600 miljardiin dollariin ja voivat nousta biljoonaan dollariin vuoteen 2030 mennessä, mikä korostaa, kuinka kriittisiä siruista on tullut maailman taloudelle deloitte.com, blog.veolianorthamerica.com. Nämä mikrosirut mahdollistavat biljoonien dollarien arvoiset jatkojalostetut tuotteet ja palvelut, muodostaen digitaalisen elämämme näkymättömän perustan steveblank.com. Viimeisen kahden vuoden aikana puolijohteiden tuotannosta on kuitenkin tullut korkean panoksen areena innovaatiolle ja geopoliittiselle jännitteelle. Pandemian aiheuttama sirupula osoitti, kuinka haavoittuva toimitusketju voi olla, pysäyttäen tehtaita ja nostaen hintoja. Samaan aikaan valtiot kilpailevat lisätäkseen kotimaista sirutuotantoa taloudellisista ja turvallisuussyistä, sijoittaen satoja miljardeja uusiin tehtaisiin (siruvalmistuslaitoksiin) ja käynnistäen maailmanlaajuisen ”sirusodan”.
Tämä raportti tarjoaa kattavan ja ajantasaisen katsauksen puolijohdemaailmaan – selittäen, mitä puolijohteet ovat ja miten ne toimivat, kuinka sirut valmistetaan alusta loppuun, ketkä ovat suurimmat toimijat (yritykset ja maat) kussakin vaiheessa sekä missä toimitusketjun haavoittuvuudet piilevät. Sukellamme myös huipputeknologioihin ja materiaaleihin, jotka mahdollistavat modernit sirut, viimeisimpiin innovaatioihin ja T&K-trendeihin sekä geopoliittisiin ja poliittisiin kamppailuihin, jotka muovaavat alaa. Lopuksi tarkastelemme puolijohdesektorin taloudellista vaikutusta, sen ympäristöjalanjälkeä ja edessä olevia työvoimahaasteita. Tuoreista asiantuntijanäkemyksistä vuoden 2024–2025 keskeisiin kehityksiin, tämä raportti valaisee, miksi puolijohteiden tuotanto on yksi maailman tärkeimmistä – ja kiistellyimmistä – aloista nykyään.
Mitä puolijohteet ovat ja miten ne toimivat?
Puolijohteet ovat materiaaleja (kuten piitä), jotka voivat toimia sähkönjohteena tai eristeenä eri olosuhteissa, mikä tekee niistä ihanteellisia sähkövirran hallintaan techtarget.com. Käytännössä puolijohdelaite (siru) on pohjimmiltaan verkosto pieniä sähköisiä kytkimiä (transistoreita), joita voidaan kytkeä päälle tai pois sähköisillä signaaleilla. Modernit integroidut piirit sisältävät miljardeja näitä transistorikytkimiä kynnenkokoisella sirulla, mahdollistaen monimutkaiset laskutoimitukset ja signaalinkäsittelyn. ”Yksinkertaisesti sanottuna puolijohde on sähköinen kytkin, jonka voi kytkeä päälle ja pois sähköllä. Suurin osa nykyteknologiasta koostuu miljoonista näistä pienistä, toisiinsa liitetyistä kytkimistä,” selittää TechTargetin insinöörin perusteos techtarget.com.
Koska ne voivat tarkasti ohjata virran kulkua, puolijohdesirut toimivat elektronisten laitteiden “aivoina” tai “muistina”. Logiikkasirut (kuten CPU:t, GPU:t, AI-kiihdyttimet) käsittelevät dataa ja tekevät päätöksiä, muistisirut tallentavat tietoa ja analogiset/tehosirut yhdistävät fyysiseen maailmaan. Lisäämällä puhtaisiin puolijohdekiteisiin pieniä epäpuhtauksia valmistajat luovat komponentteja kuten transistoreita, diodeja ja integroidut piirit, jotka hyödyntävät kvanttifysiikkaa sähköisten signaalien kytkemiseen ja vahvistamiseen techtarget.com. Lopputuloksena puolijohteet voivat suorittaa laskutoimituksia, tallentaa binääritietoa ja yhdistää antureihin/toimilaitteisiin – ominaisuuksia, jotka ovat lähes kaiken modernin teknologian perustana, aina digitaalisesta viestinnästä kodinkoneisiin ja lääkintälaitteisiin steveblank.com.
Tämän päivän sirut ovat hämmästyttäviä insinööritaidon saavutuksia. Huippuluokan prosessorissa voi olla kymmeniä miljardeja transistoreita kaiverrettuna piihin, ja rakenteiden koko on vain muutamia nanometrejä (atomien mittakaavassa). Esimerkiksi Applen M1 Ultra -sirussa on 114 miljardia transistoria yhdellä piikiekolla bipartisanpolicy.org. Nämä transistorit kytkeytyvät päälle ja pois gigahertsin nopeudella, mahdollistaen laitteen suorittaa miljardeja operaatioita sekunnissa. Lyhyesti sanottuna puolijohteista on tullut modernin maailman perusteknologia, joka pyörittää kaikkea älypuhelimista ja autoista pilvipalvelimiin ja teollisuuskoneisiin. Usein sanotaan, että ”puolijohteet ovat uusi öljy” – välttämätön resurssi, josta kansakunnat ja teollisuudenalat ovat riippuvaisia kehityksen ja turvallisuuden kannalta.
Miten sirut valmistetaan: puolijohteiden valmistusprosessi
Mikrosirun rakentaminen on yksi monimutkaisimmista valmistusprosesseista, joita on koskaan kehitetty – ”liiketoimintaa, jossa materiaaleja manipuloidaan atomi kerrallaan” tehtaissa, joiden rakentaminen maksaa kymmeniä miljardeja dollareita steveblank.com. Kaikki alkaa raaka-aineista ja päättyy valmiisiin, käyttöön pakattuihin siruihin. Tässä on yleiskatsaus sirun valmistuksen kokonaisprosessista:
- Raakapiistä kiekoksi: Tavallinen hiekka (piidioksidi) puhdistetaan puhtaaksi piiksi. Piikidettä kasvatetaan ja leikataan sitten ohuiksi kiekoiksi (pyöreiksi levyiksi), joihin mahtuu tuhansia siruja bipartisanpolicy.org. Jokainen kiekko näyttää kiiltävältä ja sileältä, mutta mikroskooppitasolla se on virheetön piin atomirakenne.
- Etupään valmistus: Varsinainen taika tapahtuu puhdastilassa ”fabissa”, jossa monimutkaiset piirit rakennetaan jokaiselle kiekolle. Sirun valmistus sisältää satoja tarkkoja vaiheita, mutta keskeisiä vaiheita ovat: ohuiden materiaalikerrosten talletus kiekolle; valoresistin levitys; fotolitografia (käytetään kohdistettua valoa pienten kuvioiden etsaamiseen kiekolle maskien avulla, aivan kuten piirilevyn piirustuksen tulostaminen); etsaus ja doping (materiaalin poistaminen ja ionien istuttaminen transistorien ja kytkentöjen muodostamiseksi); ja näiden vaiheiden toistaminen kerros kerrokselta bipartisanpolicy.org. Transistorit – käytännössä päälle/pois -kytkimet – rakennetaan näillä kuvioiduilla kerroksilla, jotka muodostavat mikroskooppisia sähköisiä reittejä. Tämä on nanometriluokan valmistusta – moderneissa siruissa voi olla yli 50 kerrosta piirejä ja rakenteita, jotka ovat vain 3 nm (nanometriä) leveitä. Jokainen vaihe täytyy hallita atomitarkkuudella; pölyhiukkanen tai pieni kohdistusvirhe voi pilata sirun.
- Back-End ja pakkaus: Etupään valmistuksen jälkeen valmis kiekko sisältää ruudukon useista yksittäisistä siruista (piireistä). Kiekko leikataan erillisiksi siruiksi, ja jokainen siru pakataan. Pakkausvaiheessa hauras siru kiinnitetään alustaan, johdotetaan pieniin kulta- tai kuparikontakteihin ja koteloidaan (usein suojaavalla hartsilla ja lämmönlevittäjällä), jotta sitä voidaan käsitellä ja integroida piirilevyihin bipartisanpolicy.org. Pakattu siru on se, joka juotetaan puhelimesi emolevylle tai tietokoneen piirilevylle. Sirut käyvät myös läpi perusteellisen testauksen tässä vaiheessa, jotta varmistetaan niiden toimivuus.
Yllä oleva yksinkertaistettu yhteenveto ei tee oikeutta sille, kuinka valtavan monimutkainen ja monen kuukauden prosessi puolijohteiden valmistus on. Huipputason siru saattaa vaatia yli 1 000 prosessivaihetta ja äärimmäisen tarkkoja laitteita. Esimerkiksi uusimmat valolitografiakoneet (jotka projisoivat piirikaavoja ultraviolettivalolla) voivat maksaa yli 300 miljoonaa dollaria kappaleelta, ja jokainen tällainen kone ”voi kuluttaa yhtä paljon sähköä kuin tuhat kotitaloutta,” Bloombergin mukaan bipartisanpolicy.org. Näissä laitteissa käytetään Extreme Ultraviolet (EUV) -valoa erittäin pienten rakenteiden valmistamiseen, ja ne ovat niin kehittyneitä, että vain yksi yritys maailmassa (ASML Alankomaissa) valmistaa niitä tällä hetkellä patentpc.com. Pääomakustannukset ovat valtavat: uuden sirutehtaan rakentaminen voi kestää yli 3 vuotta ja vaatia yli 10 miljardin dollarin investoinninbipartisanpolicy.org. Johtavat yritykset kuten TSMC, Samsung ja Intel käyttävät vuosittain kymmeniä miljardeja tehtaiden laajentamiseen ja varustamiseen.
Kaiken tämän työn tuloksena on hämmästyttävää teknologiaa: yhdelle 12-tuumaiselle kiekolle voi valmistuksen jälkeen mahtua satoja valmiita siruja, joissa on yhteensä biljoonia transistoreitasteveblank.com. Jokainen siru testataan ja pystyy suorittamaan miljardeja laskutoimituksia sekunnissa käyttöönoton jälkeen. Modernien sirujen pieni koko ja suuri tiheys antavat niille uskomattoman tehon. Kuten eräs alan blogi totesi, puhdastilassa oleva kiekko ”sisältää kaksi biljoonaa transistoria”, jotka on valmistettu atomitason tarkkuudellasteveblank.com. Tämä valmistusosaaminen – jota on hiottu vuosikymmenten ajan – mahdollistaa nykyiset tehokkaat ja edulliset elektroniikkamme.
Puolijohdealan toimitusketjun suurimmat toimijat (yritykset & maat)
Puolijohteiden tuotantoa ei hoida vain yhdenlainen yritys; se on monimutkainen ekosysteemi yrityksiä, joista kukin erikoistuu eri vaiheisiin. Jos kurkistamme toimitusketjun sisälle, löydämme verkoston satoja erittäin erikoistuneita toimijoita maailmanlaajuisesti, jotka ovat kaikki riippuvaisia toisistaan steveblank.com. Tässä ovat tärkeimmät toimijakategoriat ja niiden hallitsevat yritykset:- Piirisuunnittelijat (Fabless-yritykset): Nämä yritykset suunnittelevat puolijohdepiirejä, mutta ulkoistavat varsinaisen valmistuksen. Ne luovat piirien suunnitelmat ja immateriaalioikeudet. Monet maailman tunnetuimmista piiribrändeistä – mukaan lukien Apple, NVIDIA, Qualcomm, AMD, Broadcom – ovat fabless-suunnittelijoita. Yhdysvalloilla on vahva johtoasema tässä segmentissä (noin 50 % fabless-yrityksistä sijaitsee siellä patentpc.com), samoin Euroopassa (esim. ARM Isossa-Britanniassa piirien IP-ytimissä steveblank.com) ja Aasiassa. Fabless-yritykset keskittyvät T&K:hon ja innovaatiotyöhön piirien arkkitehtuurissa, ja palkkaavat sitten sopimusvalmistajat tuottamaan piirit.
- Integroituja laitevalmistajia (IDM): Nämä ovat jättiläisiä kuten Intel, Samsung ja Micron, jotka sekä suunnittelevat että valmistavat piirit itse. Intel (USA) on historiallisesti johtanut mikroprosessorien suunnittelussa/valmistuksessa PC- ja palvelinmarkkinoille, Samsung (Etelä-Korea) ja Micron (USA) erityisesti muistipiireissä. IDM:t hallitsevat omia tehtaitaan ja tuottavat piirit omiin tuotteisiinsa (ja joskus myös muille). Viime vuosikymmeninä suuntaus on kuitenkin ollut siirtyminen fabless-foundry-malliin tehokkuuden vuoksi.
- Puolijohdetehtaiden alihankkijat (sopimusvalmistajat): Foundryt ovat piiritehtaita, jotka todella valmistavat siruja (fabless-asiakkaille tai IDM-yrityksille, jotka ulkoistavat osan tuotannostaan). Tätä segmenttiä hallitsevat aasialaiset yritykset. Taiwanilainen TSMC (Taiwan Semiconductor Manufacturing Co.) on kiistaton markkinajohtaja, halliten yksin noin 55 % maailman foundry-markkinoista vuonna 2023 patentpc.com. TSMC on Applen, AMD:n, NVIDIAn ja monien muiden ensisijainen valmistaja, erityisesti kaikkein edistyneimmille siruille (5 nm, 3 nm prosessit). Samsung Etelä-Koreassa on toiseksi suurin foundry (noin 15–20 % osuus) patentpc.com, ja valmistaa myös edistyneitä logiikkasiruja. Muita merkittäviä foundry-yrityksiä ovat GlobalFoundries (USA, keskittyy keskitason prosesseihin), UMC (Taiwan) ja SMIC (Kiinan suurin foundry). Huomionarvoista on, että Taiwan ja Etelä-Korea yhdessä vastaavat valtaosasta huipputason sirutuotannosta – itse asiassa noin 92 % maailman edistyneimmästä (<10 nm) sirujen valmistuskapasiteetista sijaitsee yksin Taiwanissa, Yhdysvaltain hallituksen vuoden 2023 raportin mukaan usitc.gov. Tämä korostaa, kuinka keskittynyttä sirujen valmistus on muutamaan paikkaan.
- Muistisiruvalmistajat: Muisti on erikoistunut alasektori, mutta elintärkeä (RAM, flash-muisti jne.). Sitä hallitsevat IDM-yritykset kuten Samsung ja SK Hynix (molemmat Etelä-Koreasta) sekä Micron (USA). Esimerkiksi Samsung ja SK Hynix tuottavat yhdessä yli 70 % maailman DRAM-muistisiruista patentpc.com. Nämä yritykset investoivat voimakkaasti DRAM- ja NAND-flash-muistin valmistukseen, usein valtavissa tehtaissa Etelä-Koreassa, Taiwanissa, Yhdysvalloissa, Japanissa ja Kiinassa.
- Puolijohdelaitteiden toimittajat: Nämä yritykset valmistavat työkalut ja koneet sirujen valmistukseen – itsessään äärimmäisen kriittinen ja korkean teknologian ala. Johtavia laitevalmistajia ovat ASML (Alankomaat), joka valmistaa yksinoikeudella EUV-litografiajärjestelmiä, jotka ovat välttämättömiä 7 nm ja sitä pienemmille siruille patentpc.com; Applied Materials, Lam Research, KLA (kaikki USA:sta), jotka toimittavat pinnoitus-, etsaus- ja tarkastuslaitteita; Tokyo Electron ja Nikon (Japani) litografia- ja etsaustyökaluihin; sekä muita. Ilman näitä huipputason koneita tehtaat eivät voi toimia. Yhdysvallat, Japani ja Alankomaat ovat historiallisesti hallinneet puolijohdelaitteita – yksi syy siihen, miksi vientirajoituksista näille laitteille on tullut geopoliittinen kysymys (lisää tästä myöhemmin).
- Materiaalien ja kemikaalien toimittajat: Sirujen valmistus perustuu myös monimutkaiseen erikoismateriaalien toimitusketjuun – ultrapuhtaista piikiekoista eksoottisiin kemikaaleihin ja kaasuisiin. Muutamia esimerkkejä: Shin-Etsu Handotai ja SUMCO (Japani) tuottavat suuren osan maailman piikiekoista. JSR, Tokyo Ohka Kogyo (Japani) ja muut toimittavat valotuslakkoja (valolle herkkiä kemikaaleja) steveblank.com. Teollisuuskaasuyritykset kuten Linde, Air Liquide toimittavat yli 100 erilaista kaasua, joita käytetään puolijohdetehtaissa (esim. fluori, neon, argon) steveblank.com. Monet näistä kriittisistä materiaaleista ovat keskittyneet Japaniin, Kiinaan ja Eurooppaan. Esimerkiksi Japani on pitkään ollut puolijohdekemikaalien suurvalta, kun taas Kiina jalostaa monia siruissa käytettäviä harvinaisia mineraaleja (kuten gallium ja germanium). Tämä tarkoittaa, että maat, jotka hallitsevat raaka-aineita (Kiina, Venäjä jne.) ja ne, jotka ovat erikoistuneet kemikaaleihin (Japani), ovat ylikorostuneessa asemassa toimitusketjussa.
- EDA- ja IP-toimittajat: Ennen valmistusta sirut täytyy suunnitella ja varmentaa. Electronic Design Automation (EDA) -ohjelmistotyökaluja tarjoaa käytännössä kolme suurta yritystä – Synopsys, Cadence (molemmat USA) ja Siemens EDA (Mentor Graphics) – kaikki amerikkalaisia tai amerikkalaisliittolaisia yrityksiä steveblank.com. Niillä on lähes monopoli monimutkaisessa ohjelmistossa, jota insinöörit käyttävät miljardien transistorien asetteluun ja simulaatioihin. Lisäksi ydinsuunnitelmat (kuten prosessoriytimet) lisensoidaan usein IP-yrityksiltä kuten ARM (Iso-Britannia), joka tarjoaa piirustuksia, joita käytetään useimmissa mobiiliprosessoreissa steveblank.com. Nämä toimitusketjun alkupään toimijat ovat koko alan kannalta ratkaisevan tärkeitä.
- Ulkoistetut puolijohteiden kokoonpano- ja testauspalvelut (OSAT): Kun kiekot on valmistettu, erikoistuneet alihankkijat hoitavat sirujen pakkaamisen ja testauksen. Suurimpia OSAT-yrityksiä ovat ASE Technology Holding (Taiwan) – maailman suurin pakkaaja – ja Amkor (USA), sekä monet Kiinassa, Malesiassa ja Vietnamissa toimivat yritykset. Itse asiassa Kaakkois-Aasiasta on tullut sirujen kokoonpanon keskus: esimerkiksi Malesia hoitaa noin 13 % maailman sirujen pakkaus- ja testauspalveluista patentpc.com, ja Vietnamin OSAT-sektori kasvaa nopeasti patentpc.com. Nämä vaiheet ovat työvoimavaltaisia, ja yritykset sijoittavat ne usein maihin, joissa on osaavaa työvoimaa ja alhaisemmat kustannukset.
Mitä maihin tulee: eri valtiot erikoistuvat tämän ketjun eri osiin. Taiwan on sirujen valmistuksen supertähti, erityisesti kehittyneiden logiikkasirujen osalta – sillä oli yksinään noin 65 % foundry-markkinaosuus vuonna 2023 patentpc.com ja se on korvaamaton huippuluokan sirujen valmistuksessa (TSMC:n hallitseva asema). Etelä-Korea on johtava muistisirujen ja myös foundry-valmistuksen (Samsung) maa, vastaten noin 20 %:sta maailman sirutuotannosta patentpc.com. Yhdysvallat säilyttää johtoaseman sirujen suunnittelussa (monien fabless-jättien ja IDM-yritysten, kuten Intelin, kotimaa) sekä tietyissä valmistuslaitteissa, mutta Yhdysvaltojen osuus varsinaisesta valmistuksesta on laskenut 37 %:sta vuonna 1990 noin 12 %:iin vuoteen 2023 mennessä patentpc.com kun tuotanto on siirtynyt Aasiaan. Tätä laskua Yhdysvaltain hallitus pyrkii nyt kääntämään kannustimilla (lisää tästä alla). Kiina on erityistapaus – se on maailman suurin sirujen kuluttaja (kokoaa elektroniikkaa maailmalle) ja tuottaa paljon kypsän teknologian siruja ja pakkausta, mutta riippuu tuonnista kehittyneimpien sirujen osalta. Vuonna 2023 Kiinan omavaraisuus puolijohteissa oli vain noin 16 % patentpc.com, ja se käytti huikeat 350 miljardia dollaria tuontisiruihin vuonna 2022 patentpc.com. Kiina kuitenkin investoi voimakkaasti nostaakseen kotimaisen tuotannon 70 %:iin vuoteen 2030 mennessä patentpc.com, rakentaen yhtiöitä kuten SMIC ja YMTC (muisti). Japani oli hallitseva siruvalmistaja 1980-luvulla ja on yhä merkittävä toimija materiaaleissa ja laitteissa. Nykyään Japani palaa valmistukseen kumppanuuksien kautta (esim. TSMC rakentaa tehdasta Japaniin ja uusi konsortio Rapidus pyrkii valmistamaan 2 nm siruja kotimaassa), hyödyntäen vahvuuttaan laadukkaassa valmistuksessa ja valtion tuessa. Eurooppa (EU) omaa joitakin siruvalmistajia (esim. Infineon Saksassa autoalan siruissa, STMicroelectronics Ranskassa/Italiassa, NXP Alankomaissa) ja on ASML:n kotipaikka, mutta kokonaisuudessaan Euroopan osuus maailman sirutuotannosta on noin 8–10 % techhq.com. EU pyrkii kaksinkertaistamaan tämän vuoteen 2030 mennessä (noin 20 %:iin) oman Chips Act -lainsäädännön ja houkuttelemalla TSMC:tä ja Inteliä rakentamaan tehtaita Eurooppaanconsilium.europa.eu. Näiden lisäksi maat kuten Malesia, Vietnam, Thaimaa, Filippiinit näyttelevät keskeistä roolia kokoonpanossa ja testauksessa (tarjoten resilienssiä ja monipuolistamista toimitusketjun myöhemmissä vaiheissa) patentpc.com. Jopa uudet pyrkijät kuten Intia ja Saudi-Arabia ovat ilmoittaneet suurista investoinneista tullakseen puolijohdeteollisuuden toimijoiksi (Intia tarjoaa kannustimia puolijohdetehtaille ja Saudi-Arabia suunnittelee 100 miljardin dollarin investointeja vuoteen 2030 mennessä rakentaakseen siruteollisuutta) patentpc.com.
Yhteenvetona voidaan todeta, että puolijohteiden tuotanto on globaalisti hajautettu ponnistus, mutta siinä on kriittisiä pullonkauloja – muutama yritys tai maa johtaa kutakin osa-aluetta. Esimerkiksi kolme yritystä (TSMC, Samsung, Intel) tuottaa valtaosan kehittyneistä siruista, ja vain kolme maata (Taiwan, Etelä-Korea, Kiina) valmistaa lähes kaikki sirut nykyään patentpc.com. Tämä keskittynyt rakenne vaikuttaa merkittävästi toimitusketjun turvallisuuteen, kuten tarkastelemme seuraavaksi.
Toimitusketjun rakenne ja haavoittuvuudet
Puolijohteiden toimitusketjua on kutsuttu “kaikkien teollisuudenalojen monimutkaisimmaksi toimitusketjuksi” usitc.gov – ja viimeaikaiset tapahtumat ovat paljastaneet, kuinka haavoittuva se voi olla. Luonnonkatastrofit ja geopoliittiset konfliktit uhkaavat sirujen sujuvaa kulkua. Keskeisiä pullonkauloja ja riskejä ovat mm.:
- Vahva maantieteellinen keskittyminen: Alan maantieteellinen klusteroituminen tarkoittaa, että yhden alueen häiriö voi pysäyttää koko maailman. Tämä näkyy erityisesti Taiwanin ylikorostuneessa roolissa. Vaikka Taiwan valmistaa noin 18 % kaikista siruista määrällisesti, se vastaa “noin 92 % maailman kehittyneimmästä sirutuotantokapasiteetista”, vuoden 2023 USITC-raportin mukaan usitc.gov. Toisin sanoen lähes kaikki huippuluokan (alle 10 nm) sirut tulevat Taiwanista (pääasiassa TSMC:ltä), loput Etelä-Koreasta. Tämä on valtava toimitusriski – mikä tahansa häiriö (maanjäristys, geopoliittinen kriisi) voi lamaannuttaa globaalit teknologian toimitusketjut usitc.gov. Asiantuntijat huomauttavatkin, että suuri häiriö Taiwanin tuotantolaitoksissa olisi taloudellinen katastrofi, joka ulottuisi paljon teknologia-alaa laajemmalle. Etelä-Korea on toinen yksittäinen riskipiste: esimerkiksi lähes kaikki huipputason muistisirut tulevat kahdelta yritykseltä siellä. Tämän tunnistaen maat ja yritykset pyrkivät nyt hajauttamaan tuotantoa maantieteellisesti (siirtymä globalisaatiosta “regionalisaatioon”) nefab.com, mutta uusien tuotantolaitosten rakentaminen muualle vie aikaa.
- Yhden toimittajan riippuvuudet: Tietyt kriittiset panokset ovat riippuvaisia yhdestä tai hyvin harvoista toimittajista. Hyvä esimerkki on ASML – hollantilainen yritys on ainoa EUV-litografiakoneiden lähde, joita tarvitaan huipputason siruihin patentpc.com. Jos ASML ei voi toimittaa laitteita (esim. vientikieltojen tai tuotanto-ongelmien vuoksi), sirujen kehitys pysähtyy. Samoin keskeisiä kemikaaleja toimittaa usein vain muutama pätevä toimittaja. Esimerkiksi muutama japanilainen yritys toimittaa suurimman osan maailman valotusresistien kemikaaleista. Edistynyt sirusuunnitteluohjelmisto (EDA-työkalut) on toinen pullonkaula, jota hallitsee vain kolme yhdysvaltalaista toimittajaa. Nämä keskittymiskohdat tarkoittavat, että koko ketju on vain niin vahva kuin sen heikoin (tai kapein) lenkki.
- Materiaalien ja luonnonvarojen riskit: Puolijohteiden valmistus on riippuvainen tietyistä harvinaisista materiaaleista ja jalostetuista kemikaaleista – ja näiden toimitushäiriöt ovat aiheuttaneet ongelmia. Venäjän ja Ukrainan sota vuonna 2022 osoitti tämän: Ukraina toimitti noin 25–30 % maailman puhdistetusta neonkaasusta (jota käytetään laserlitografiassa), ja Venäjä toimitti saman osuuden maailman palladiumista (käytetään joissakin siruprosesseissa) usitc.gov. Kun sota häiritsi näitä toimituksia, se uhkasi sirujen tuotantoa, kunnes vaihtoehtoiset lähteet saatiin käyttöön usitc.gov. Toinen esimerkki nähtiin kesällä 2023: Kiina vastasi Yhdysvaltojen teknologiarajoituksiin kieltämällä galliumin ja germaniumin viennin – kaksi harvinaista metallia, jotka ovat elintärkeitä puolijohdelasereille, radiotaajuussiruille ja aurinkokennoille deloitte.com. Kiina tuottaa suurimman osan näistä alkuaineista, joten toimenpide sai valmistajat etsimään kiireesti muita toimittajia. Nämä tapaukset korostavat haavoittuvuutta: jos kriittisen materiaalin yksittäinen lähde menee pois käytöstä, se voi aiheuttaa pullonkaulan koko sirujen valmistusprosessiin.
- Äärimmäinen monimutkaisuus ja pitkät toimitusajat: Yhden siruerän valmistaminen voi kestää kuukausia ja uuden tehtaan rakentaminen alusta asti vuosia. Tämä pitkä toimitusaika tarkoittaa, että toimitusketju ei voi nopeasti palautua häiriöistä. Esimerkiksi COVID-19-pandemian aikana nopea kysyntäpiikki yhdistettynä sulkuihin johti vakavaan sirupulaan vuonna 2021, jonka ratkaiseminen kesti yli vuoden usitc.gov. Puute iski erityisen kovaa autovalmistajiin – tehtaat pysähtyivät ja autoteollisuus menetti arviolta 210 miljardia dollaria myynnissä vuonna 2021 sirujen puutteen vuoksi usitc.gov. Sirujen monimutkainen, juuri ajoissa -toimitusketju (jossa varastot pidetään minimissä) tarkoittaa, että jopa pieni häiriö – tulipalo japanilaisessa tehtaassa, Teksasin pakkaset, jotka pysäyttävät tehtaita, tai Taiwanin kuivuus, joka vähentää veden saantia – voi johtaa maailmanlaajuisiin tuotantoviiveisiin. Näimme tämän, kun Renesasin autotehdassirutehtaalla syttyi tulipalo vuonna 2021 ja Teksasin tehtailla oli sähkökatkoja samana vuonna, mikä molemmat aiheuttivat viivästyksiä lopputuotteissa.
- Haavoittuva “juuri ajoissa” -ketju: Vuosien ajan tehokkuus ajoi yrityksiä pitämään varastot pieninä ja luottamaan reaaliaikaiseen toimitukseen. Mutta se jätti ei puskuria häiriöille. Globalisoitu ketju optimoitiin kustannusten, ei kestävyyden mukaan. Nyt pandemian opetusten myötä yritykset ja hallitukset pyrkivät “resilienssiin” – rakentamalla suurempia siru- tai raaka-ainevarastoja, “friendshoring” -tuotantoa luotettuihin maihin ja hankkimalla kriittisiä komponentteja kahdesta lähteestä reuters.com. Silti muutokset ovat hitaita ja kalliita.
- Geopoliittinen pirstoutuminen: Ehkä suurin esiin nouseva haavoittuvuus on sirujen toimitusketjun politisoituminen. Yhdysvaltojen ja Kiinan teknologinen kilpailu on johtanut vientirajoituksiin ja mustiin listoihin, jotka käytännössä jakavat maailman kahtia puolijohteiden osalta. ”Sirusektorilla globalisaatio on kuollut. Vapaa kauppa ei ole aivan niin kuollut, mutta se on vaarassa,” sanoi TSMC:n perustaja Morris Chang vuonna 2023. Viime vuoden aikana Yhdysvallat ja sen liittolaiset ovat yhä enemmän rajoittaneet Kiinan pääsyä kehittyneeseen siruteknologiaan, peläten turvallisuusuhkia. Tämä on saanut Kiinan panostamaan entistä enemmän kotimaiseen teknologiaan ja jopa rajoittamaan tiettyjen tuotteiden vientiä vastatoimena. Tuloksena on entistä jakautuneempi toimitusketju – sellainen, jossa länsimaiden ja Kiinan suuntautuneet ekosysteemit saattavat muuttua vähemmän toisistaan riippuvaisiksi. Vaikka tämä saattaa lisätä jonkin verran redundanssia, se tarkoittaa myös vähemmän tehokkuutta, korkeampia kustannuksia ja mahdollisesti päällekkäistä työtä kahden teknologiasfäärin välillä theregister.com. Chang totesi suoraan ”globalisaatio on melkein kuollut ja vapaa kauppa on melkein kuollut”theregister.com, varoittaen, että yhtenäisen globaalin siruketjun kultakausi on päättymässä. Tämä siirtymäkausi tuo mukanaan epävarmuutta ja riskejä, kun yritysten on navigoitava uusissa monimutkaisissa säännöissä siitä, kenelle ne voivat myydä ja minne ne voivat rakentaa.
Lyhyesti sanottuna puolijohteiden toimitusketju on kaksiteräinen miekka: sen globaali luonne mahdollisti merkittävän innovaation ja mittakaavaedut alhaisilla kustannuksilla, mutta se loi myös vaarallisia yksittäisiä epäonnistumispisteitä. Kuivuus Taiwanissa tai poliittinen pattitilanne Etelä-Kiinan merellä ei ole vain paikallinen ongelma – se voi häiritä älypuhelinten, autojen ja datakeskuspalvelimien tuotantoa maailmanlaajuisesti usitc.gov. Tämä ymmärrys ajaa nyt valtavia ponnisteluja resilienssin lisäämiseksi – hallitusten tuista paikallisille tuotantolaitoksille toimittajien monipuolistamiseen. Mutta redundanssin rakentaminen vie aikaa, ja sillä välin maailma on edelleen erittäin haavoittuva puolijohteiden toimitusketjun häiriöille.
Sirujen valmistuksen keskeiset materiaalit ja teknologiat
Sirujen valmistuksen taito perustuu huipputeknologioiden ja erikoismateriaalien yhdistelmään. Näiden ymmärtäminen antaa käsityksen siitä, miksi sirujen valmistus on niin haastavaa (ja miksi vain harvat toimijat pystyvät siihen korkeimmalla tasolla):
- Piikiekot: Suurin osa siruista valmistetaan piistä – runsaasta alkuaineesta, jonka puolijohdeominaisuudet tekevät siitä ihanteellisen. Piitangot leikataan peilinlaadun sileiksi kiekoiksi (300 mm halkaisija useimmissa edistyneissä tuotantolaitoksissa nykyään). Nämä kiekot ovat sirujen lähtöalusta. Virheettömien, puhtaiden piikiteiden valmistus on itsessään huipputekninen prosessi, jonka hallitsevat vain harvat yritykset (enimmäkseen Japanissa). Muitakin puolijohdemateriaaleja käytetään erikoissovelluksiin: esim. galliumarsenidi tai indiumfosfidi korkean taajuuden RF-siruihin, sekä piikarbidia (SiC) tai galliumnitridiä (GaN) tehoelektroniikkaan (kuten sähköautojen moottoriohjaimet ja 5G-tukiasemat), niiden ylivoimaisten sähköisten ominaisuuksien vuoksi korkeissa jännitteissä tai taajuuksissa. Nämä yhdisteseospuolijohteet ovat kriittisiä 5G:lle, sähköautoille ja ilmailulle, ja niiden tuotannon lisäämiseksi tehdään töitä (usein mukana yhdysvaltalaisia, eurooppalaisia ja japanilaisia yrityksiä, jotka johtavat materiaalitieteessä).
- Valolitografiatekniikka: Modernin siruvalmistuksen ytimessä on valolitografia – valon käyttäminen pienten kuvioiden etsaamiseen. Tämä teknologia on edennyt lähes tieteiskuvitelmien tasolle. Nykyiset huipputason tuotantolaitokset käyttävät äärimmäisen ultraviolettivalon (EUV) litografiaa, joka toimii 13,5 nm aallonpituudella ja sisältää uskomattoman monimutkaisia optiikoita, plasmapohjaisia valonlähteitä ja tyhjiöjärjestelmiä. Kuten mainittu, ASML on ainoa valmistaja EUV-skannereille patentpc.com. Jokainen EUV-kone painaa 180 tonnia, sisältää tuhansia komponentteja (Zeissin peilit, laserilla tuotettu plasman valonlähde jne.) ja maksaa yli 300 miljoonaa dollaria bipartisanpolicy.org. EUV mahdollistaa noin 7 nm ja sitä pienempien rakenteiden kuvioinnin vähemmillä vaiheilla. Vanhemmilla prosessisolmuilla (esim. 28 nm, 14 nm) käytetään syvän ultraviolettivalon (DUV) litografiaa – sekin monimutkaista, mutta hieman laajempi toimittajapohja (ASML, Nikon, Canon toimittavat näitä laitteita). Edistys litografiassa on ollut Mooren lain keskeinen ajuri, mahdollistaen transistoritiheyksien kaksinkertaistumisen. Seuraava askel litografiassa on jo kehitteillä: High-NA EUV (korkeamman numeerisen apertuurin linssit vielä tarkempiin kuvioihin), tähtäimessä 2 nm ja sitä pienemmät sirut vuosille 2025–2026. Koko siruvalmistuksen maailma nojaa pitkälti tämän optisen teknologian kehitykseen.
- Kemialliset prosessit ja kaasut: Nykyaikainen puolijohdetehdas käyttää hämmästyttävän laajaa valikoimaa kemikaaleja – kaasuista kuten fluori, argon, typpi, silaani aina nestemäisiin liuottimiin, happoihin ja valotuslakkoihin. Yli 100 erilaista kaasua (monet myrkyllisiä tai erittäin erikoistuneita) voidaan käyttää eri talletus- ja etsausvaiheissa steveblank.com. Valotuslakat ovat valolle herkkiä polymeerejä, joita levitetään kiekkojen pinnalle piirikaavioiden siirtämiseksi – tämä on markkinarako, jota hallitsevat japanilaiset yritykset steveblank.com. Kemiallis-mekaanisen tasoituksen (CMP) lietteet, jotka sisältävät nano-hioma-aineita, käytetään kiekkojen kerrosten hiomiseen tasaisiksi steveblank.com. Jopa deionisoitu ultrapuhdas vesi on kriittinen “materiaali” – tehtaat kuluttavat valtavia määriä vettä kiekkojen huuhteluun (kuten ympäristöosiossa käsitellään). Jokaisen materiaalin on täytettävä äärimmäiset puhtausvaatimukset, sillä yksikin epäpuhtausatomi tai -hiukkanen voi pilata miljardeja transistoreja. Näiden materiaalien toimitus on siis korkean teknologian toimintaa itsessään, ja usein toimittajia on vain vähän (mikä tekee toimitusketjusta haavoittuvan, kuten aiemmin mainittiin).
- Transistoriteknologia (solmupolvet): Sirut luokitellaan usein niiden “solmun” tai transistorikoon mukaan – esim. 90nm, 28nm, 7nm, 3nm jne. Pienempi on yleensä parempi (enemmän transistoreja pinta-alaa kohden, suurempi nopeus, pienempi virrankulutus). Miten näin pieniä transistoreja valmistetaan? Siihen tarvitaan sekä litografiaa pienten rakenteiden määrittelyyn että älykästä transistorirakennetta. Ala siirtyi perinteisistä tasomaisista (planar) transistoreista FinFET (3D-evätransistoreihin) noin 22nm solmussa vuotovirran hallitsemiseksi. Nyt, noin 3nm kohdalla, otetaan käyttöön uusi rakenne nimeltä Gate-All-Around (GAA) tai nanosheet-transistori (Samsungin 3nm käyttää GAA:ta, ja TSMC/Intel suunnittelevat GAA:ta 2nm:ssä) – tässä transistorin portti ympäröi kanavan kokonaan paremman hallinnan saavuttamiseksi. Nämä laiterakenteen edistysaskeleet, yhdessä uusien materiaalien (esim. korkean k:n dielektriittien, metallisten porttien) kanssa, ovat jatkaneet Mooren lakia, vaikka yksinkertainen skaalaus vaikeutuu bipartisanpolicy.org. Tutkimus- ja kehitystyössä on kokonainen putki uusia materiaaleja transistoritasolla – esimerkiksi germaniumin tai 2D-materiaalien (kuten grafeenin) käyttö kanavissa liikkuvuuden parantamiseksi, tai III-V puolijohteet tietyissä kerroksissa. Vaikka näitä materiaaleja ei vielä käytetä laajamittaisessa logiikkatuotannossa, ne saattavat tulla käyttöön tulevina vuosina, kun piitransistorit lähestyvät fyysisiä rajojaan.
- Pakkaus- ja siruintegraatiotekniikka: Kun transistorien kutistuminen tuottaa yhä vähemmän hyötyä, innovaatio siirtyy kohti sirujen pakkausta ja integraatiota. Edistynyt pakkaus mahdollistaa useiden sirujen (chipletit) yhdistämisen yhteen pakettiin, jotka on yhdistetty tiheillä liitännöillä. Tekniikat kuten TSMC:n CoWoS ja SoIC, Intelin Foveros ja AMD:n chiplet-arkkitehtuuri antavat suunnittelijoille mahdollisuuden yhdistellä erilaisia ”laattoja” (CPU-ytimiä, GPU:ta, IO:ta, muistia) yhteen moduuliin. Tämä parantaa suorituskykyä ja tuottoa (pienempiä siruja on helpompi valmistaa virheettöminä, ja ne voidaan sitten yhdistää). Esimerkiksi AMD:n uusimmat suorittimet käyttävät chiplettejä, ja myös Intelin tuleva Meteor Lake käyttää niitä. 3D-pinoaminen on toinen tekniikka – sirujen asettaminen päällekkäin, kuten muistin pinoaminen logiikan päälle (esim. HBM-korkean kaistanleveyden muistipinot) kaistanleveysongelmien voittamiseksi. Ala standardoi chiplet-liittymiä (UCIe), jotta eri valmistajien sirut voisivat tulevaisuudessa olla yhteensopivia samassa paketissa bakerbotts.com. Lyhyesti, ”chipletit ovat kuin Lego-palikoita – pienempiä, erikoistuneita siruja, joita voidaan yhdistellä tehokkaampien järjestelmien luomiseksi,” kuten MIT Tech Review totesi (kuvaten merkittävää innovaatiotrendiä). Tämä pakkausvallankumous on keskeinen teknologiastrategia järjestelmien suorituskyvyn parantamiseksi, vaikka transistorien skaalaus hidastuisi.
- Suunnitteluohjelmistot & IP: Vaikka kyse ei ole materiaalista, on syytä mainita EDA (Electronic Design Automation) -työkalut ja IP-ytimet, joita käytetään sirujen suunnittelussa ja jotka ovat itsessään keskeisiä teknologioita. Nykyaikaiset sirut ovat niin monimutkaisia, että tekoälyavusteinen EDA on nousemassa – työkalut hyödyntävät nyt koneoppimista sirujen asettelun optimointiin ja suunnitelmien nopeampaan tarkistamiseen steveblank.com. IP-puolella ydinsuunnitelmat kuten ARM:n CPU-ytimet tai Imaginationin GPU-ytimet ovat perustekniikkaa, joita monet siruyritykset lisensoivat sen sijaan, että kehittäisivät ne itse, ja ne toimivat käytännössä rakennuspalikoina.
- Nousevat laskentaparadigmat: Perinteisten digitaalisten sirujen lisäksi tutkitaan uusia teknologioita: kvanttilaskentasirut (käyttäen suprajohtavista piireistä tai ansaittuista ioneista tehtyjä kubitteja) lupaavat eksponentiaalista nopeuden kasvua tietyissä tehtävissä, vaikka ovatkin vielä tutkimustasolla. Fotoniikkaintegroidut piirit käyttävät valoa sähkön sijaan viestintään ja mahdollisesti laskentaan erittäin suurilla nopeuksilla ja vähäisellä lämmöntuotolla – niitä käytetään joissain viestintäinfrastruktuureissa. Neuromorfiset sirut tavoittelevat aivojen hermoverkkojen jäljittelyä laitteistossa tekoälysovelluksia varten. Vaikka nämä eivät ole vielä valtavirtaa, jatkuva tutkimus ja kehitys voi tuoda ne puolijohdeteollisuuden osaksi tulevina vuosina.
Yhteenvetona: puolijohteiden valmistus vaatii hämmästyttävän laajan teknologian hallintaa – aina materiaalitieteestä (täydellisten kiteiden kasvatus, etsauskemiat) optiseen fysiikkaan (litografian nanofotoniikka) ja tietojenkäsittelytieteeseen (suunnittelualgoritmit). Monimutkaisuus on syy siihen, miksi vain harvoilla ekosysteemeillä (Taiwan, Etelä-Korea, Yhdysvallat, Japani, Eurooppa) on täysi hallinta näistä teknologioista, ja miksi myöhäiset tulijat kohtaavat jyrkät esteet saavuttaakseen saman tason. Se on myös syy siihen, miksi sirujen valmistus on niin vaikeaa – mutta niin ihmeellistä siinä, mitä ne mahdollistavat.
Innovaatioita ja T&K-suuntauksia
Puolijohdeteollisuutta ohjaa tauoton innovaatio – tunnetusti tiivistettynä Moore’n lakiin, havaintoon, jonka mukaan transistorien määrä siruilla kaksinkertaistuu noin kahden vuoden välein. Vaikka Moore’n laki on hidastumassa fysiikan rajoitteiden lähestyessä, tutkimus ja kehitys (T&K) sirumaailmassa on elinvoimaisempaa kuin koskaan, etsien uusia tapoja parantaa suorituskykyä. Tässä joitakin keskeisiä innovaatioita ja tulevaisuuden suuntauksia vuosina 2024-2025:
- Solmukohtarajan siirtäminen: Suuret toimijat kilpailevat seuraavien siruteknologioiden kaupallistamisesta. TSMC ja Samsung aloittivat 3 nanometrin tuotannon vuosina 2022-2023; nyt TSMC suunnittelee 2 nm tehtaita vuoteen 2025-2026 mennessä, ja IBM (yhdessä Rapiduksen kanssa Japanissa) on jopa esitellyt laboratoriossa prototyyppinä 2 nm sirun. Intel pyrkii palauttamaan prosessijohtajuutensa solmuilla, joita se kutsuu 20A ja 18A (noin 2 nm vastaava) vuosina 2024-2025, hyödyntäen nauhamaisia GAA-transistoreita (“RibbonFET”). Jokainen solmukoon pienennys vaatii valtavasti T&K:ta – uusia litografiatekniikoita, uusia materiaaleja (kuten koboltti tai rutenium johteisiin, uusia eristeitä) ja lisää EUV-kerroksia. On jopa puhetta alle 1 nm (ns. angström-mittakaavan) prosesseista myöhemmin vuosikymmenellä, vaikka siinä vaiheessa “nm”-merkinnät ovat lähinnä markkinointia – todelliset rakennekoot voivat olla vain muutaman atomin paksuisia.
- Chiplet- ja modulaariset arkkitehtuurit: Kuten mainittiin, chiplet-pohjainen suunnittelu on merkittävä innovaatio, jota kannattaa seurata. Sitä käytetään jo (AMD:n Zen-prosessorit, Intelin tuleva Meteor Lake, Applen M1 Ultra, joka käytännössä yhdistää kaksi M1 Max -siruja interposerin avulla), ja se kehittyy standardoitujen rajapintojen myötä. Tämä modulaarinen lähestymistapa mahdollistaa IP-lohkojen uudelleenkäytön, prosessisolmujen yhdistelyn (esim. analogia vanhemmalle solmulle, CPU:t uudemmalle), ja paremmat tuotot. UCIe (Universal Chiplet Interconnect Express) -konsortio perustettiin 2022 kehittämään avoimia standardeja, jotta yritys voisi mahdollisesti ostaa valmiita chiplet-komponentteja ja integroida ne – kuin Lego-palikoita yhdistäen. Vuonna 2024 chipletit mahdollistavat yhä erikoistuneempia yhdistelmiä, kuten AI-kiihdyttimien tai HBM-muistipinojen helpon integroinnin suorituskyvyn skaalaamiseksi bakerbotts.com. Jatkossa tämä voi mullistaa sirujen suunnittelun ja sen, kuka niitä voi valmistaa (alentaa kynnystä uusille toimijoille, jotka voivat keskittyä yhteen chiplet-nicheen).
- Tekoäly (AI) ja erikoistuneet sirut: Tekoälylaskennan (esim. suurten neuroverkkojen koulutus generatiivista tekoälyä varten) räjähdysmäinen kysyntä muokkaa siruinnovaatioita. Perinteiset suorittimet (CPU) ovat tehottomia tekoälytehtäviin, joten näytönohjaimet (GPU) ja AI-kiihdyttimet (TPU:t, NPU:t jne.) ovat kovassa kysynnässä. Vuonna 2024 näimme puolijohdealalla “tekoälyn kultaryntäyksen” – esimerkiksi Nvidian datakeskus-GPU:t myyvät niin nopeasti kuin niitä ehditään valmistaa, ja monet startupit suunnittelevat tekoälyyn erikoistuneita siruja. Generatiivisen tekoälyn sirujen (kattaen CPU:t, GPU:t, erikoistuneet AI-kiihdyttimet, muistin, verkkoratkaisut) liikevaihto ylitti todennäköisesti 125 miljardia dollaria vuonna 2024 – yli kaksinkertaisesti alkuperäisiin ennusteisiin verrattuna – muodostaen yli 20 % kaikista sirumyynneistä deloitte.com. Tämä kiihdyttää tutkimusta ja tuotekehitystä tekoälylle optimoituihin arkkitehtuureihin: esimerkiksi tensoriprosessorit, neuromorfiset sirut, muistissa laskenta (datan käsittely muistimatriiseissa) ja jopa analoginen laskenta tekoälylle. Suuret toimijat kuten NVIDIA, Google (TPU), Amazon (Inferentia) ja startupit (Graphcore, Cerebras jne.) kehittävät innovatiivisia ratkaisuja. AMD:n toimitusjohtaja Lisa Su arvioi, että tekoälyyn liittyvien sirujen kokonaismarkkina voi nousta 500 miljardiin dollariin vuoteen 2028 mennessä deloitte.com – luku, joka on suurempi kuin koko puolijohdemarkkina vuonna 2023, mikä korostaa tekoälyn mullistavaa potentiaalia. Tällaiset ennusteet ajavat valtavia investointeja tekoälysirujen tutkimukseen ja kehitykseen.
- 3D-integraatio & heterogeeninen integraatio: Siruletit vierekkäin -ratkaisun lisäksi 3D-pinoaminen (sirut päällekkäin) on toinen kehityssuunta. Muistin pinoaminen (esim. HBM GPU:issa) on jo yleistä. Seuraava askel on logiikkasirujen pinoaminen yhteyksien lyhentämiseksi – esimerkiksi välimuistin sijoittaminen suoraan CPU-ytimen päälle nopeampaa pääsyä varten. Tutkimushankkeet selvittävät 3D-piirejä, joissa on tuhansia pystysuoria liitäntöjä (läpikiekko-vioja tai jopa nanomittakaavan liitoksia sirujen välillä). Heterogeeninen integraatio tarkoittaa erilaisten teknologioiden (CMOS-logiikka, DRAM-muisti, fotoniikka jne.) yhdistämistä yhteen pakettiin tai pinoon. Yhdysvaltain CHIPS-laki rahoittaa edistyneitä pakkaus- ja integraatiolaitoksia, koska tätä pidetään avaimena tuleviin kehitysaskeleisiin, kun pelkkä skaalaus hidastuu. Vuonna 2024 Intel esitteli laskentasirun pinoamista I/O-sirun päälle, välissä “PowerVia” -taustapuolen virransyöttö, osana tulevia suunnitelmiaan. Tämä on huipputason pakkausalan tutkimusta ja kehitystä.
- Uudet materiaalit ja transistoriparadigmat: Tutkijat työskentelevät myös piin jälkeisten, CMOSin jälkeisten teknologioiden parissa. Grafeenilla ja hiilinanoputkilla on houkuttelevia ominaisuuksia (erittäin nopea elektronien liikkuvuus), jotka voisivat mahdollistaa paljon pienemmät transistorit, mutta niiden integrointi massatuotantoon on haastavaa. Silti kokeellisia hiilinanoputki-FETejä on esitelty laboratoriosiruissa (MIT valmisti muutama vuosi sitten kuuluisasti 16-bittisen mikroprosessorin kokonaan hiilinanoputkitransistoreista). 2D-puolijohteita, kuten molybdeenidisulfidia (MoS₂), tutkitaan erittäin ohuiden kanavien materiaaliksi. Samaan aikaan spintroniikka (elektronin spinin käyttö muistissa, kuten MRAM), ferrosähköiset FETit ja kvanttilaitteet ovat aktiivisia tutkimusalueita, jotka saattavat parantaa tai korvata nykyteknologiaa tietyissä sovelluksissa. Mikään näistä ei pääse laajamittaiseen tuotantoon vuonna 2025, mutta nykyiset investoinnit voivat tuottaa läpimurtoja vuosikymmenen lopulla. Huomionarvoinen esimerkki: IBM ja Samsung ilmoittivat vuonna 2021 tutkimuksesta VTFET (Vertical Transport FET) -rakenteesta, joka on uusi pystysuora transistoriarkkitehtuuri ja voisi teoriassa tarjota suuren harppauksen tiheydessä suuntaamalla transistorit pystysuoraan sirun läpi.
- Kvanttilaskenta ja piifotoniikka: Vaikka nämä eivät suoraan kuulu valtavirran CMOS-tiekarttoihin, sekä kvanttilaskenta että fotoninen integrointi ovat puolijohteiden tulevaisuuden suuntia. Kvanttilaskennan T&K on saanut miljardien investoinnit – yritykset kuten IBM, Google ja Intel valmistavat jopa kvanttiprosessorisiruja (tosin hyvin erilaisella teknologialla – esim. suprajohtavat piirit kryogeenisissä lämpötiloissa). Jos kvanttitietokoneet skaalautuvat, ne voivat täydentää perinteisiä puolijohteita tietyissä tehtävissä (salaus, monimutkaiset simulaatiot) vuosikymmenen tai kahden sisällä. Piifotoniikka on puolestaan jo yhdistymässä perinteisiin siruihin: optisten liitäntöjen integrointi erittäin nopeita datayhteyksiä varten (esim. palvelinsirujen välillä) hyödyntäen pieniä lasereita ja aaltoputkia sirulla. Teknologiajätit (esim. Intel, Cisco) kehittävät fotonisiruja, ja startupit työstävät optisia neuroverkkoja. Vuonna 2024 nähtiin jatkuvaa kehitystä toisen sukupolven optisissa transceiver-siruissa datakeskuksiin sekä tutkimusta fotonilaskennasta tekoälyä varten.
- Edistyneet muistiteknologiat: Innovaatio ei rajoitu vain logiikkasiruihin. Myös muisti kehittyy: 3D NAND flash etenee yli 200 kerrokseen (Micron ja SK Hynix ilmoittivat >230-kerroksisista siruista), ja mahdollisesti jopa yli 500 kerrokseen vuoteen 2030 mennessä, jolloin muistisolut pinotaan pilvenpiirtäjämäisesti. Uudet muistityypit kuten MRAM, ReRAM ja faasimuistiteknologiat ovat kehitteillä mahdollisesti korvaamaan tai täydentämään DRAM- ja flash-muisteja, tarjoten ei-haihtuvuutta paremmalla nopeudella tai kestävyydellä. Vuonna 2023 sekä Intel että Micron esittelivät edistysaskeleita näissä seuraavan sukupolven muisteissa. Laskennallinen tallennus (jossa muisti voi suorittaa laskentatehtäviä) on toinen näkökulma.
Kaiken kaikkiaan T&K-putki on vahva – välittömistä seuraavan sukupolven valmistusparannuksista (2 nm, GAA-transistorit) vallankumouksellisiin uusiin laskentaparadigmoihin. Ala saa myös ennennäkemätöntä valtion T&K-tukea: esimerkiksi Yhdysvaltojen CHIPS-laki osoittaa miljardeja uusille kansallisille puolijohdetutkimuskeskuksille, ja Euroopan Chips Act lisää vastaavasti T&K-rahoitusta semiconductors.org. Näiden toimien tavoitteena on varmistaa johtoasema tulevaisuuden teknologioissa. Yksi selkeä trendi on valtava yhteistyö yritysten, hallitusten ja akateemisen maailman välillä esikilpailullisessa tutkimuksessa (kustannukset huomioiden).
Vuonna 2025 Moore’n laki saattaa hidastua perinteisessä mielessä, mutta innovaattorit ovat varmoja, että “More Moore” ja “More than Moore” (uudet kyvykkyydet pelkän skaalaamisen lisäksi) jatkuvat. Äskettäisessä Economist-lehden artikkelissa todettiin, että vaikka transistorit eivät enää puolittuisi kooltaan kahden vuoden välein, kehityksen vauhti voi jatkua siruarkkitehtuurien, tekoälypohjaisen suunnittelun ja erikoistumisen kautta economist.com. Toisin sanoen, Moore’n lain loppu ei tarkoita nopean kehityksen loppua – ne vain tulevat eri suunnista. Seuraavat vuodet ovat jännittäviä, kun näemme, vievätkö läpimurrot kuten High-NA EUV, 3D-sirupinoaminen tai kenties jokin ennakoimaton uusi teknologia alan uusiin korkeuksiin.
Geopoliittiset jännitteet ja politiikan vaikutukset
Puolijohteet eivät ole vain liiketoimintaa – ne ovat geopoliittisia pelimerkkejä globaalissa valtapelissä. Koska kehittyneet sirut ovat ratkaisevan tärkeitä taloudelliselle vahvuudelle ja kansalliselle turvallisuudelle (ajattele sotilasteknologiaa, kriittistä infrastruktuuria, turvallista viestintää), valtiot ovat yhä enemmän ryhtyneet suojelemaan ja hallitsemaan puolijohdekyvykkyyksiä. Vuosina 2024–2025 nämä jännitteet ovat vain kiihtyneet, muokaten politiikkaa ja kansainvälisiä suhteita. Tässä päälinjat:
- USA–Kiinan teknologinen “sirutaistelu”: Yhdysvallat ja Kiina käyvät kiivasta kilpailua puolijohteista. Yhdysvallat pitää Kiinan edistystä siruissa mahdollisena turvallisuusuhkana (kehittyneet sirut voivat esimerkiksi mahdollistaa tekoälyn sotilaskäytössä), ja on ryhtynyt voimakkaisiin toimiin estääkseen Kiinan pääsyn huipputason siruteknologiaan. Lokakuussa 2022 Yhdysvallat ilmoitti laajoista vientirajoituksista, jotka estävät kiinalaisia yrityksiä saamasta kehittyneitä siruja (tiettyjen suorituskykyrajojen ylittäviä) ja niiden valmistukseen tarvittavaa laitteistoa. Vuonna 2023 ja loppuvuodesta 2024 näitä rajoituksia kiristettiin entisestään – esimerkiksi kieltämällä jopa joidenkin vähemmän kehittyneiden Nvidian tekoälysirujen vienti Kiinaan ja laajentamalla pakotelistalle kiinalaisia yrityksiä (kuten SMIC, Huawei) deloitte.com. Yhdysvallat painosti myös liittolaisiaan Alankomaita ja Japania rajoittamaan kehittyneiden litografia- ja muiden siruvalmistustyökalujen vientiä Kiinaan, mihin ne suostuivat vuoden 2023 alussa (näin katkaisten Kiinan pääsyn kokonaan EUV-koneisiin, ja jopa joihinkin kehittyneisiin DUV-laitteisiin). Näiden rajoitusten tavoitteena on hidastaa Kiinan kehitystä huipputason puolijohteissa, erityisesti niissä, joita tarvitaan sotilaalliseen tekoälyyn ja supertietokoneisiin theregister.comm. Yhdysvaltalaisviranomaiset ovat avoimesti todenneet haluavansa ylläpitää “pientä pihaa, korkeaa aitaa” – eli pientä joukkoa kaikkein kehittyneintä teknologiaa, jonka ympärillä on käytännössä läpäisemätön este.
- Kiinan vastaus – omavaraisuus ja rekrytoinnit: Kiina ei ole jäänyt toimettomaksi. Se käynnisti yli 150 miljardin dollarin “Made in China 2025” -ohjelman kehittääkseen kotimaista puolijohdeosaamista ja vähentääkseen riippuvuutta ulkomaisesta teknologiasta. Kiinalaiset puolijohdetehtaat, kuten SMIC, ovat edistyneet tasaisesti (joskin vaatimattomasti) – pakotteista huolimatta SMIC onnistui valmistamaan 7 nm siruja vuosina 2022–23 (käyttäen vanhempaa DUV-litografiaa luovasti) patentpc.com, kuten nähtiin Huawein älypuhelimessa, joka julkaistiin 2023 ja jonka purku paljasti sisältävän 7 nm:n kiinalaisvalmisteisen SoC:n. Kiina hyödyntää myös porsaanreikiä ja kaksinkertaistaa T&K-panostukset työkaluihin, joita se ei voi tuoda (kuten kehittämällä omaa litografialaitteistoaan, vaikka onkin vielä vuosia jäljessä). Toinen taktiikka: osaajien houkuttelu. Koska Yhdysvaltain säännöt estävät amerikkalaisia auttamasta kiinalaisia siruyrityksiä, Kiina on aggressiivisesti rekrytoinut insinöörejä Taiwanista, Koreasta ja muualta, tarjoten ruhtinaallisia etuja. “Kiina on aggressiivisesti rekrytoinut ulkomailla työskenteleviä osaajia… korkeilla palkoilla, ilmaisilla asunnoilla ja muulla,” Reuters raportoi deloitte.com. Tämä “osaajasota” on yritys tuoda osaamista maahan. Lisäksi Kiina asetti omat vientirajoituksensa tietyille materiaaleille (gallium, germanium) vuoden 2023 puolivälissä deloitte.com, osoittaen, että se voi vastata hyödyntämällä hallitsevaa asemaansa joissakin puolijohteille välttämättömissä raaka-aineissa.
- CHIPS-lait ja teollisuuspolitiikka: Huomionarvoinen kehitys on, kuinka monet hallitukset ovat ottaneet käyttöön politiikkoja sirujen valmistuksen siirtämiseksi kotimaahan tai ystävämaihin, mikä on poikkeus vuosikymmenten laissez-faire-lähestymistavasta. Yhdysvaltojen CHIPS and Science Act (2022) varasi 52,7 miljardia dollaria suoraa rahoitusta kotimaisen sirutuotannon edistämiseksi sekä 25 %:n investointiverohyvitykset tuotantolaitosinvestoinneillebipartisanpolicy.org. Vuoteen 2023-24 mennessä Yhdysvaltain kauppaministeriö alkoi myöntää näitä varoja hankkeille – esimerkiksi vuonna 2023 se ilmoitti ensimmäisistä apurahoista ja lainatakauksista yrityksille, jotka rakentavat tuotantolaitoksia Yhdysvaltoihin. bipartisanpolicy.org. Tavoitteena on nostaa Yhdysvaltojen osuutta maailmanlaajuisesta tuotannosta (tällä hetkellä noin 12 %) ja varmistaa, että kehittyneimmät sirut (kuten puolustukseen) voidaan valmistaa Yhdysvalloissa. Samoin EU käynnisti European Chips Actin (2023), jonka tavoitteena on mobilisoida 43 miljardia euroa Euroopan tuotanto-osuuden kaksinkertaistamiseksi 20 %:iin vuoteen 2030 mennessä consilium.europa.eu. Tämä sisältää tukia uusille tuotantolaitoksille (Intel sai suuren tuen tehtaalle Saksaan, TSMC:tä houkutellaan myös Saksaan), tukea startupeille ja tutkimusrahoitusta. Japani on myös myöntänyt miljardeja tukia – se houkutteli TSMC:n rakentamaan tehtaan Kumamotoon (Sony ja Denso kumppaneina) tarjoamalla lähes puolet kustannuksista (476 miljardia jeniä ≈ 3,2 miljardia dollaria tukea) reuters.com. Japani perusti myös Rapidus-konsortion, jossa on mukana yrityksiä kuten Sony ja Toyota ja jonka hallitus tukee, kehittämään 2 nm:n prosessiteknologiaa kotimaassa yhteistyössä IBM:n kanssa. Etelä-Korea ilmoitti omista kannustimistaan jättimäiselle ”puolijohdeklusterille” ja tukeakseen yrityksiään, kuten Samsungia, uusien tehtaiden rakentamisessa. Intia käynnisti 10 miljardin dollarin kannustinohjelman houkutellakseen siruvalmistajia perustamaan tehtaita (vuoteen 2024 mennessä edistys on kuitenkin ollut hidasta, kiinnostusta on ollut lähinnä analogisiin/kypsyneisiin tehtaisiin ja pakkaamiseen). Jopa Saudi-Arabia ja Arabiemiirikunnat ovat ilmaisseet kiinnostuksensa investoida voimakkaasti puolijohteisiin taloutensa monipuolistamiseksi patentpc.com. Tämä maailmanlaajuinen teollisuuspolitiikan aalto on ennennäkemätön siruteollisuudessa, jolla on aiemmin ollut vain vähän valtion tukia (kuten Taiwanin pitkäaikainen tuki TSMC:lle), mutta ei koskaan näin laajaa koordinaatiota. Riskinä on mahdollinen ylikapasiteetti pitkällä aikavälillä ja tehottomat investoinnit, mutta keskeinen huoli on kansallinen turvallisuus ja toimitusketjujen kestävyys.
- Liittoumat ja “ystävämielinen lähituotanto” (friendshoring): Geopoliittisella shakilaudalla on muodostunut uusia siruihin keskittyviä liittoumia. Yhdysvallat on pyrkinyt luomaan eräänlaista “siruliittoumaa” samanmielisten teknologiajohtajamaiden kesken – usein nimellä “Chip 4” (Yhdysvallat, Taiwan, Etelä-Korea, Japani) – koordinoimaan toimitusketjujen turvallisuutta ja pitämään kriittisen teknologian poissa vastustajien käsistä. Alankomaat (ASML:n kotimaa) on myös keskeinen kumppani. Nämä maat hallitsevat yhdessä suurinta osaa huipputason sirujen immateriaalioikeuksista, työkaluista ja tuotannosta. Yhdysvaltojen ja Japanin sekä Yhdysvaltojen ja Alankomaiden yhteiset julkilausumat vuosina 2023 ja 2024 vahvistivat yhteistyötä puolijohdevalvonnassa. Toisella puolella Kiina ja sen vaikutuspiirissä olevat maat (ehkä Venäjä ja jotkut muut) saattavat syventää omia teknologiasuhteitaan – esimerkiksi Kiina on lisännyt teknologian yhteistyötä Venäjän kanssa ja etsii puolijohdelaitteita kaikista maista, jotka ovat valmiita myymään. Taiwanin kysymys on keskeinen: Yhdysvallat sanoo suoraan, ettei se voi olla riippuvainen Taiwanista sirujen osalta loputtomiin (siksi TSMC:tä kannustetaan rakentamaan Arizonaan). Taiwan puolestaan haluaa ylläpitää “piisuojakilpeään” – ajatusta siitä, että maailman riippuvuus sen siruista ehkäisee sotilaallista aggressiota. Jännitteet ovat kuitenkin korkealla – sotapeliskenaariot ja joidenkin viranomaisten lausunnot ovat jopa esittäneet äärimmäisiä ideoita, kuten Taiwanin sirutehtaiden tuhoamista mahdollisen hyökkäyksen sattuessa, jotta ne eivät joutuisi Kiinan käsiin theregister.com. Tämä osoittaa, kuinka puolijohteet ovat nyt kietoutuneet kansallisen puolustuksen suunnitteluun.
- Korkeammat kustannukset ja kompromissit: Yksi toimitusketjun politisoimisen seuraus on korkeammat kustannukset ja tehottomuudet. Morris Chang on varoittanut, että tuotannon uudelleenjärjestely politiikan vuoksi nostaa hintoja – hajautettu just-in-time -globaali malli oli erittäin kustannustehokas theregister.com. Nyt, kun tehtaita rakennetaan useisiin maihin, joskus vajaakäytöllä tai kustannusnäkökulmasta epäoptimaalisille paikoille, kuluttajat saattavat maksaa enemmän siruista ja niihin perustuvista tuotteista. TSMC on jo ilmoittanut, että sen uuden Arizonan tehtaan sirut maksavat huomattavasti enemmän kuin Taiwanissa valmistetut (arviot jopa ~50 % korkeammat kustannukset) reuters.com. Yritykset saattavat siirtää nämä kustannukset eteenpäin. Lisäksi haasteena on osaamisen ja toimitusketjujen skaalaaminen uusille alueille (kuten TSMC:n Arizonan viivästys osoitti, ks. Workforce-osio). Hallitukset näyttävät kuitenkin olevan valmiita kantamaan nämä kustannukset turvallisuushyötyjen vuoksi.
- Vientivalvonta ja -noudattaminen: Toinen kehityssuunta on monimutkaisten vientivalvontajärjestelmien luominen. Yhdysvaltain kauppaministeriön teollisuus- ja turvallisuusvirasto (BIS) on aktiivisesti päivittänyt sääntöjä. Esimerkiksi loppuvuodesta 2024 Yhdysvallat ilmoitti säännöistä, joilla rajoitetaan jopa kehittyneiden tekoälymallien pääsyä pakotteiden kohteena oleviin maihin ja rajoitetaan tiettyjä vähemmän kehittyneitä siruja, joita voitaisiin käyttää uudelleen sotilaallisiin tarkoituksiin deloitte.com. Valvonta ja täytäntöönpano ovat haasteellisia – harmailla markkinoilla toimii vilkas jälleenmyyjien ja välittäjien verkosto, joka yrittää saada rajoitettuja siruja Kiinaan tai muihin kiellettyihin kohteisiin. Vastauksena Yhdysvallat lisää valvontatoimiaan. Samaan aikaan Kiina laatii omaa vientivalvontalistaansa (mahdollisesti laajentaen sitä kattamaan enemmän tuotteita, kuten harvinaisten maametallien magneetit jne., jo rajoitettujen metallien lisäksi). Tämä kissa ja hiiri -leikki todennäköisesti jatkuu, ja yritykset jäävät joskus väliinputoajiksi (esim. NVIDIA joutui kehittämään muokattuja, hitaampia versioita tekoälysiruistaan voidakseen myydä niitä laillisesti Kiinaan sääntöjen puitteissa, minkä jälkeen Yhdysvallat puolestaan harkitsi uusia rajoituksia).
- Teknologinen suvereniteetti vs. yhteistyö: Monet maat puhuvat “teknologisesta suvereniteetista” – EU käyttää tätä termiä perustellakseen investointeja, joilla varmistetaan, ettei se ole täysin riippuvainen ulkomaisesta teknologiasta. Toisaalta puolijohdeinnovaatio kukoistaa globaalin yhteistyön varassa (mikään maa ei pysty tekemään kaikkea edullisesti yksin). Siksi päättäjillä on tasapainoteltavaa: paikallisen kapasiteetin rakentaminen ilman, että eristäydytään maailmanlaajuisesta toimittaja- ja asiakasverkostosta. Yhdysvaltain CHIPS-laki sisältääkin määräyksiä, joiden mukaan rahoitusta saaneet yritykset eivät saa rakentaa kehittynyttä uutta kapasiteettia Kiinaan kymmeneen vuoteen, pyrkien varmistamaan irtikytkennän bipartisanpolicy.org. Kiina puolestaan edistää “omavaraisuutta”, vaikka se tarkoittaisi pyörän keksimistä uudelleen. Jos kuilu syvenee, saatamme nähdä rinnakkaisia ekosysteemejä – esimerkiksi Kiina kehittää omia EDA-työkalujaan ja laitteistojaan, vaikkakin sukupolven jäljessä. Pitkällä aikavälillä jotkut ovat huolissaan siitä, että tämä päällekkäisyys vähentää innovaation kokonaistehokkuutta (koska aiemmin yritys kuten TSMC pystyi kattamaan T&K-kulut myymällä kaikille maailmanlaajuisesti; jakautuneessa maailmassa volyymit ovat pienempiä markkinaa kohden).
Vuonna 2024 geopoliittiset jännitteet pysyvät puolijohdealalla ennätyskorkealla. Alan pioneeri Morris Chang tukee Yhdysvaltojen pyrkimyksiä hidastaa Kiinaa – hän totesi “Yhdysvallat aloitti sirujen teollisuuspolitiikan hidastaakseen Kiinan kehitystä. … Kannatan sitä,” vaikka myönsikin, että sirujen vapaan kaupan aikakausi on päättymässä. Yritykset kuten ASML ovat ilmaisseet huolensa siitä, että jotkin rajoitukset vaikuttavat “enemmän taloudellisesti motivoiduilta” kuin puhtaasti turvallisuuteen liittyviltä reuters.com, kuten ASML:n toimitusjohtaja totesi toivoessaan vakaata tasapainoa reuters.com. Samaan aikaan maat kuten Etelä-Korea tuntevat toisinaan olevansa kahden tulen välissä – riippuvaisia Kiinasta markkinana, mutta liittoutuneita Yhdysvaltojen kanssa. Esimerkiksi Etelä-Korealle myönnettiin joustoa (poikkeuksia), jotta sen yritykset Samsung ja SK Hynix voivat jatkaa tehtaiden toimintaa Kiinassa Yhdysvaltojen sääntöjenkin alla, mutta loppuvuodesta 2024 jopa Etelä-Korea kohtasi “yllätyksen” miettiessään omia teknologiapolitiikkojaan paineen alla deloitte.com.
Puolijohteiden “sirusota” muovaa todennäköisesti maailmanpolitiikkaa jatkossakin. Toisaalta se ajaa valtavia investointeja teknologiaan ja kapasiteettiin (mikä voi olla positiivista innovaatiolle ja työpaikoille). Toisaalta se uhkaa luoda hajautuneemman ja epävakaamman teknologiakentän, jossa toimituskatkokset ja kauppakiistat yleistyvät. Tavalliselle kansalaiselle yksi välitön seuraus on, että sirujen toimitusvarmuudesta on tullut hallituksille huippuluokan prioriteetti – aivan kuten energiaturvallisuudesta. Tulevina vuosina uutisissa tullaan näkemään uusia tehdashankkeita Yhdysvaltojen sydänmailla tai Euroopan pääkaupungeissa, suurvaltojen vastavuoroisia vientikieltoja ja puolijohteet keskeisenä aiheena diplomaattisissa neuvotteluissa. Globaali kilpailu sirujen herruudesta on nyt täydessä vauhdissa, ja se vaikuttaa syvästi sekä puolijohdeteollisuuden kehitykseen että laajemmin taloudellisen vallan tasapainoon 2000-luvulla.
Puolijohdeteollisuuden taloudellinen vaikutus
Puolijohdeteollisuus ei ainoastaan mahdollista muita sektoreita – se on valtava taloudellinen voima itsessään. Vuonna 2024 maailmanlaajuinen puolijohdemarkkina kasvoi voimakkaasti, kun pandemian aikaiset puutteet helpottivat ja uusi kysyntä kasvoi. Maailmanlaajuiset sirumyyntitulot olivat noin 630,5 miljardia dollaria vuonna 2024 semiconductors.org, mikä merkitsee vahvaa noin 18–20 %:n kasvua edellisvuodesta, ja niiden odotetaan rikkovan uusia ennätyksiä vuonna 2025 (noin 697 miljardia dollaria) deloitte.com. Jos nykyiset trendit jatkuvat, ala voi lähestyä biljoonan dollarin vuosittaista liikevaihtoa vuoteen 2030 mennessä deloitte.com. Vertailun vuoksi: tämä vastaa suunnilleen Alankomaiden tai Indonesian BKT:tä, jonka sirut tuottavat vuosittain.
Mutta puolijohteiden todellinen taloudellinen vaikutus on paljon suurempi kuin pelkkä sirujen myynti. “Yritykset puolijohde-ekosysteemissä valmistavat siruja … ja myyvät niitä yrityksille, jotka suunnittelevat ne osaksi järjestelmiä ja laitteita … Siruja sisältävien tuotteiden liikevaihto on kymmeniä biljoonia dollareita,” selittää alan asiantuntija Steve Blank steveblank.com. Käytännössä lähes jokainen moderni elektroniikkatuote (älypuhelimet, tietokoneet, autot, tietoliikennelaitteet, teollisuuskoneet) sisältää siruja – näiden loppumarkkinoiden arvo on useita biljoonia ja ne vauhdittavat tuottavuutta koko taloudessa. Esimerkiksi puolijohteet ovat keskeisiä avainaloilla kuten autoteollisuudessa (nykyaikaisissa autoissa on kymmeniä mikrokontrollereita), tietojenkäsittelyssä ja pilvipalveluissa, tietoliikenteessä (5G-verkot), kulutuselektroniikassa sekä uusilla aloilla kuten tekoäly ja uusiutuva energia. Sirujen saatavuus ja hinta vaikuttavat suoraan näiden alojen terveyteen ja innovaatiovauhtiin.
Muutamia konkreettisia kohtia taloudellisesta vaikutuksesta:
- Teknologisten vallankumousten mahdollistaminen: Puolijohteet ovat usein uuden teknologia-aallon pullonkaula tai katalyytti. Älypuhelinten ja mobiilinetin nousu 2010-luvulla mahdollistui yhä tehokkaampien ja energiatehokkaampien puhelinpiirien ansiosta. Nykyinen tekoälybuumi (ChatGPT:n kaltaiset mallit ja autonomiset järjestelmät) on mahdollinen huippuluokan GPU:iden ja tekoälykiihdyttimien ansiosta; jos piirien kehitys olisi pysähtynyt, tekoälyalgoritmeja ei voisi ajaa käytännön mittakaavassa. Tulevaisuuden IoT:n (esineiden internet), sähkö- ja itseajavien autojen, Industry 4.0 -automaation ja 6G-viestinnän laajeneminen edellyttävät kaikki piirien jatkuvaa kehitystä. Taloudellisesti piirien vaikutus on valtava kertoimen vaikutus – läpimurto puolijohteissa voi synnyttää kokonaan uusia toimialoja. Tämän vuoksi hallitukset kutsuvat puolijohteita “strategiseksi” toimialaksi; esimerkiksi Valkoinen talo totesi, että puolijohteet ovat “kriittisiä Yhdysvaltain talouskasvulle ja kansalliselle turvallisuudelle”, mikä selittää, miksi CHIPS-laki oli perusteltu bipartisanpolicy.org.
- Työpaikkojen luominen ja korkean osaamisen työllisyys: Puolijohdesektori työllistää suuren määrän ihmisiä maailmanlaajuisesti, joista monet ovat hyvin palkattuja asiantuntijatehtäviä (insinöörit, teknikot, tutkijat). Piirisuunnittelun keskuksissa, kuten Piilaaksossa (USA) tai Hsinchussa (Taiwan), piiriyritykset ovat suuria työnantajia. Yksi uusi tehdas voi luoda tuhansia suoria työpaikkoja ja kymmeniä tuhansia epäsuoria työpaikkoja (rakennus, alihankkijat, palvelut). Esimerkiksi Intelin suunnitellut tehtaat Ohiossa ja TSMC:n Arizonassa odotetaan kumpikin luovan noin 3 000 suoraa työpaikkaa sekä paljon enemmän laajempaan talouteen. Lisäksi nämä ovat juuri sellaisia kehittyneen valmistuksen työpaikkoja, joita monet kehittyneet maat haluavat kotimaahan taloudellisista ja turvallisuussyistä. Kuitenkin, kuten seuraavassa osiossa käsitellään, pätevän työvoiman löytäminen näihin tehtäviin on kasvava haaste, jolla on myös taloudellisia vaikutuksia (työvoimapula voi hidastaa laajentumista ja nostaa palkkoja).
- Globaali kauppa ja toimitusketjut: Puolijohteet ovat yksi maailman vaihdetuimmista tuotteista. Vuosittainen puolijohteiden ja niihin liittyvien laitteiden globaali kauppa on satoja miljardeja. Esimerkiksi sirut ovat jatkuvasti Taiwanin, Etelä-Korean, Malesian ja yhä enemmän Kiinan (joka vie paljon alemman tason siruja, vaikka tuo korkean tason siruja) tärkeimpiä vientituotteita. Itse asiassa vuodesta 2020 lähtien Kiinan sirutuonti (noin 350 miljardia dollaria vuonna 2022) on ylittänyt sen öljyntuonnin, mikä korostaa sirujen merkitystä maan tärkeänä tuontitavarana patentpc.com. Tämä dynamiikka vaikuttaa myös kauppataseisiin ja neuvotteluihin. Vientivetoiset taloudet, kuten Etelä-Korea ja Taiwan, ovat riippuvaisia siruviennistä kasvun moottorina – Taiwanissa TSMC on yksinään merkittävä BKT:n ja kauppataseen ylijäämän tuottaja. Samaan aikaan maat, jotka ovat riippuvaisia sirujen tuonnista (kuten monet Euroopassa tai Intia), näkevät kauppataseen parantamisen syynä kehittää kotimaista tuotantoa.
- Taloudellinen turvallisuus: Vuosien 2021–2022 sirupula toimi herätyksenä: yhden dollarin arvoisten puolijohdeosien puute riitti pysäyttämään 40 000 dollarin autojen tuotannon, mikä vaikutti inflaatioon ja alhaisempaan BKT:n kasvuun joillakin alueilla. Tutkimusten mukaan sirupula leikkasi useita prosenttiyksiköitä autotuotannosta maailmanlaajuisesti ja hidasti kulutuselektroniikan saatavuutta, mikä todennäköisesti vaimensi BKT:n kasvua hieman vuonna 2021. Hallitukset pitävät nyt varmaa sirutoimitusta osana taloudellista turvallisuutta. PwC:n raportti vuodelta 2023 jopa varoitti, että vakava ilmastonmuutoksesta johtuva häiriö sirutoimituksissa voisi asettaa kolmanneksen ennustetusta biljoonan dollarin tuotannosta vaaraan vuosikymmenen sisällä, ellei ala sopeudu pwc.com – mikä vahingoittaisi merkittävästi maailmanlaajuista taloutta. Siksi taloussuunnittelijat sisällyttävät puolijohteet riskinarviointeihin, jotka on yleensä varattu välttämättömille hyödykkeille.
- Pörssit ja yritysten kasvu: Puolijohdeyrityksistä on itsestään tullut maailman arvokkaimpia yrityksiä. Vuoden 2024 lopulla kymmenen suurimman siruyrityksen yhteenlaskettu markkina-arvo oli noin 6,5 biljoonaa dollaria, mikä on 93 % enemmän kuin vuotta aiemmin deloitte.com, kiitos tekoälyyn liittyvien arvostusten nousun. Jättiläiset kuten TSMC, NVIDIA, Samsung, Intel ja ASML omaavat kukin satojen miljardien markkina-arvot. Näiden yritysten suorituskyky vaikuttaa voimakkaasti pörssi-indekseihin ja sijoitusvirtoihin. Itse asiassa Philadelphia Semiconductor Index (SOX) nähdään usein teknologia-alan terveyden mittarina. Näiden yritysten luoma varallisuus on valtava, ja ne sijoittavat puolestaan ennätysmääriä takaisin tutkimukseen ja kehitykseen sekä pääomamenoihin (TSMC käytti noin 36 miljardia dollaria investointeihin vuonna 2022 reuters.com, mikä vastaa useiden lentotukialusten rakentamisen kustannuksia). Tämä luo myönteisen kierteen innovaatiolle ja taloudelliselle toimeliaisuudelle, kunhan kysyntä pysyy mukana.
- Kuluttajavaikutus ja hinnat: Sirut muodostavat suuren osan monien tuotteiden kustannuksista. Kun siruista tulee tehokkaampia (Moore’n lain mukaisesti), usein kustannus toimintoa kohden laskee, mikä mahdollistaa edullisemman elektroniikan tai enemmän ominaisuuksia samaan hintaan – hyöty kuluttajille ja tuottavuudelle. Viimeaikainen toimituspula ja “turvallisten” toimitusketjujen lisäkustannukset (esim. tehtaiden rakentaminen kalliimmille alueille) voivat kuitenkin aiheuttaa inflaatiopaineita. Näimme esimerkiksi autojen hintojen nousseen merkittävästi vuosina 2021–2022 osittain siksi, että autonvalmistajat eivät saaneet tarpeeksi mikrokontrollereita, mikä johti alhaisiin varastoihin. Goldman Sachsin raportti vuodelta 2021 totesi, että sirut vaikuttavat laajaan valikoimaan kulutustavaroita, joten pitkittynyt sirupula voi vaikuttaa inflaatioon havaittavalla murto-osalla prosentista. Vastaavasti, kun sirujen saatavuus normalisoituu, sillä voi olla deflatorinen vaikutus elektroniikan hintoihin. Pitkällä aikavälillä puolijohteiden jatkuva kehitys on deflatorinen voima (elektroniikan hinta laskee tai ominaisuudet paranevat huomattavasti samaan hintaan vuosittain).
- Valtiontuet ja sijoitetun pääoman tuotto (ROI): Kun kymmenet miljardit julkisia varoja on nyt sidottu puolijohdealoitteisiin, veronmaksajat ja taloustieteilijät seuraavat tuottoja. Kannattajat väittävät, että nämä tuet maksavat itsensä takaisin arvokkaiden työpaikkojen luomisen ja välttämättömien teollisuusalojen turvaamisen kautta. On myös kerrannaisvaikutus – esimerkiksi tehtaan rakentaminen vaatii paljon rakennustyötä ja sen jälkeen korkeasti koulutettuja työntekijöitä, ja jokainen tehdastyöpaikka tukee tiettävästi noin 4–5 muuta työpaikkaa taloudessa (ylläpidossa, palveluissa jne.). Kriitikot kuitenkin varoittavat ylitarjonnasta tai hallituksen tehottomuudesta valita voittajia. Esimerkiksi CHIPS-lain rahoitukseen liittyy ehtoja (voitonjako, jos voitot ovat liian suuret, lastenhoitovaatimukset tehdastyöntekijöille jne.) laajojen hyötyjen varmistamiseksi. Näiden politiikkojen onnistumisella tai epäonnistumisella on taloudellisia kerrannaisvaikutuksia: jos ne onnistuvat, alueista kuten Yhdysvaltain Keskilännestä tai Saksan Saksista voi tulla uusia Piilaaksoja, mikä vauhdittaa paikallistalouksia. Jos ei, on riskinä kalliit hukkainvestoinnit.
Yhteenvetona puolijohteilla on valtava taloudellinen vaikutus sekä suoraan että epäsuorasti. Ne vauhdittavat kasvua täydentävillä toimialoilla ja ovat tuottavuuden kasvun ytimessä (nopeammat tietokoneet = enemmän tieteellisiä simulaatioita, parempi tekoäly = enemmän automaatiota). Alan syklinen luonne (nousu- ja laskukaudet kysynnän vaihteluiden vuoksi) voi myös vaikuttaa laajempiin taloussuhdanteisiin. Esimerkiksi puolijohdesyklin laskusuhdanne (kuten muistisiruissa 2019 tai 2023) voi heikentää vientiä ja BKT:ta valmistuspainotteisissa talouksissa, kun taas nousukausi (kuten nykyinen tekoälybuumi) voi vauhdittaa niitä merkittävästi.
Vuotta 2025 kohti mentäessä näkymät ovat optimistiset: Deloitten toimialakatsauksen mukaan vuosi 2024 oli erittäin vahva, noin 19 % kasvulla, ja vuosi 2025 voi tuoda vielä noin 11 % kasvua, mikä vie alan kohti tuota biljoonan dollarin tavoitetta deloitte.com. Kasvua vauhdittaa uuden teknologian kysyntä (tekoäly, 5G, sähköautot), joka kompensoi mahdollisia hidastumisia älypuhelimissa tai tietokoneissa. Haasteena on navigoida lokalisoinnin kustannuksia ja geopoliittisia rajoitteita tukahduttamatta sitä innovaatiota ja mittakaavaa, jotka tekivät puolijohteista alun perin taloudellisen menestystarinan.
Ympäristö- ja kestävyysnäkökohdat
Niin häikäisevää kuin puolijohdeteknologia onkin, sen valmistukseen liittyy merkittäviä ympäristökustannuksia. Ala joutuu yhä enemmän kohtaamaan kestävyysongelmansa – mukaan lukien valtava veden- ja energiankulutus, kasvihuonekaasupäästöt ja kemialliset jätteet. Paradoksaalisesti sirut mahdollistavat vihreämpiä teknologioita (kuten energiatehokkaat elektroniikkalaitteet ja puhtaat energiaratkaisut), mutta niiden valmistus voi olla resurssi-intensiivistä ja saastuttavaa, ellei sitä hallita huolellisesti. Tässä ovat keskeiset ympäristöhuolet:
- Veden käyttö: ”Puolijohteet eivät voi olla olemassa ilman vettä – ja paljon sitä,” toteaa Kirsten James Ceresiltä weforum.org. Tehtaat tarvitsevat valtavia määriä erittäin puhdasta vettä (UPW) huuhdellakseen kiekkoja jokaisen kemiallisen prosessin jälkeen. Tämän veden on oltava äärimmäisen puhdasta (tuhansia kertoja puhtaampaa kuin juomavesi), jotta vältetään mineraali- tai hiukkaskontaminaatio weforum.org. 1 000 UPW-gallonan tuottamiseen tarvitaan noin 1 400–1 600 gallonaa kunnallista vettä (loppu muuttuu jätevedeksi) weforum.org. Yksi suuri sirutehdas voi käyttää 10 miljoonaa gallonaa vettä päivässä, mikä vastaa noin 30 000–40 000 kotitalouden vedenkulutusta weforum.org. Maailmanlaajuisesti kaikkien puolijohdetehtaiden yhteenlasketun vedenkulutuksen arvioidaan olevan miljoonakaupungin luokkaa; erään raportin mukaan sirutehtaat ympäri maailmaa käyttävät vettä yhtä paljon kuin Hong Kongin (7,5 miljoonaa asukasta) kaupunki vuosittain weforum.org. Tämä suuri kysyntä aiheuttaa painetta paikallisille vesivaroille, erityisesti alueilla, jotka jo kärsivät kuivuudesta tai vesipulasta (esim. TSMC:n tehtaita Taiwanissa uhkasi vakava kuivuus vuonna 2021, mikä vaati hallituksen vesikiintiöitä ja jopa veden kuljettamista tehtaille). Vesipula on muodostumassa alan haavoittuvuudeksi weforum.org. Lisäksi tehtaiden jätevesi voi sisältää vaarallisia kemikaaleja (kuten happoja, metalleja). Ilman asianmukaista käsittelyä tämä jätevesi voi saastuttaa jokia ja pohjavettä, vahingoittaen ekosysteemejä weforum.org. Joissakin sirukeskittymissä Kiinassa ja Etelä-Koreassa viranomaiset ovatkin huomauttaneet tehtaiden ympäristörikkomuksista vesien saastumisen vuoksi weforum.org. Ala vastaa haasteeseen investoimalla veden kierrätykseen: monet tehtaat kierrättävät nyt osan vedestään. Esimerkiksi TSMC:n uusi Arizonan tehdas ilmoittaa ottavansa talteen noin 65 % vedenkulutuksestaan paikan päällä <a href=”https://www.weforum.org/stories/2024/07/the-water-challenge-foweforum.org, ja Intel teki yhteistyötä paikallisten viranomaisten kanssa Oregonissa ja Arizonassa rakentaakseen vedenkäsittelylaitoksia pohjavesivarantojen täydentämiseksi weforum.org. Jotkut tehtaat Singaporessa ja Israelissa kierrättävät vielä suurempia prosenttiosuuksia. Kuitenkin, kun sirujen kysyntä kasvaa, kokonaisvedenkulutus on silti nousussa, mikä tekee tästä kriittisen kestävän kehityksen kysymyksen.
- Energian kulutus ja päästöt: Sirujen valmistus on energiaintensiivistä. Puolijohdetehtaan puhdastilojen, pumppujen ja lämpöprosessien pyörittäminen ympäri vuorokauden kuluttaa valtavasti sähköä. Yksi edistynyt tehdas voi kuluttaa jatkuvasti noin 100 megawattia sähköä – mikä vastaa pienen kaupungin (kymmenien tuhansien kotien) sähkönkulutusta. Itse asiassa “tavallinen suuri sirutehdas kuluttaa yli 100 000 megawattia energiaa … joka ikinen päivä,” ja ala kokonaisuudessaan käytti noin 190 miljoonaa tonnia CO₂-ekvivalenttia vuonna 2024 blog.veolianorthamerica.com. (Tämä päästöluku – 190 miljoonaa tonnia – vastaa suunnilleen Vietnamin tai Australian vuotuisia päästöjä.) Osa tästä hiilijalanjäljestä tulee epäsuorasta sähkönkulutuksesta (jos paikallinen sähköverkko on fossiilivoimainen), ja osa suoraan prosessipäästöistä. Tehtaat käyttävät perfluorattuja yhdisteitä (PFC) etsaukseen ja puhdistukseen; nämä kaasut, kuten CF₄ tai C₂F₆, omaavat tuhansia kertoja suuremman ilmastonlämmityspotentiaalin kuin CO₂ ja voivat säilyä ilmakehässä tuhansia vuosia. Vaikka ala on pyrkinyt vähentämään PFC-päästöjä (osana vapaaehtoisia sopimuksia Kioton pöytäkirjan alla), ne aiheuttavat yhä merkittävän osan päästöistä. TechInsightsin tutkimuksen mukaan, jos sirutuotanto kaksinkertaistuu vuoteen 2030 mennessä (vastatakseen $1T markkinaan), ilman torjuntatoimia alan päästöt voivat kasvaa merkittävästi pwc.com. Energian kulutuksen hillitsemiseksi siruvalmistajat investoivat yhä enemmän uusiutuvaan energiaan tehtaidensa käyttövoimaksi. Esimerkiksi TSMC on noussut yhdeksi maailman suurimmista uusiutuvan energian yritysostajista, tavoitteenaan 40 % uusiutuvaa vuoteen 2030 ja 100 % vuoteen 2050 mennessä. Myös Intelillä on joissain toimipaikoissa tehtaita, jotka toimivat 100 % uusiutuvalla sähköllä. Energiatehokkuuden parantaminen tehtaissa (esim. lämmön talteenotto, tehokkaammat jäähdyttimet) on toinen painopiste. On kuitenkin tärkeää huomata, että edistyneempien sirujen valmistus vaatii usein enemmän energiaa kiekkoa kohden (esim. EUV-litografia on vähemmän energiatehokasta kuin vanhemmat litografiamenetelmät), joten teknologian kehityksen ja sirun energiankulutuksen välillä on jännite. Jotkut analyytikot ovat huolissaan, että jos Mooren laki hidastuu, energiaa per transistori saattaa itse asiassa kulua enemmän.
- Kemialliset ja vaaralliset jätteet: Puolijohdeprosessi käyttää myrkyllisiä ja vaarallisia aineita – kaasuja kuten silaani tai arsiini, syövyttäviä nesteitä (happoja, liuottimia) ja raskasmetalleja. Jätevirtojen hallinta turvallisesti on ratkaisevan tärkeää. Tehtaat tuottavat kemiallista jätettä, joka täytyy käsitellä tai hävittää huolellisesti. Esimerkiksi käytetyt liuottimet ja etsausaineet voidaan tislata ja kierrättää, hapot neutraloida ja lietteet suodattaa uudelleenkäyttöä varten. Yritykset kuten Veolia tarjoavat palveluita, jotka on suunniteltu auttamaan tehtaita jätteiden kierrätyksessä – muuntaen käytetyt kemikaalit hyödyllisiksi tuotteiksi tai polttamalla jätteet turvallisesti ja talteenottaen energiaa blog.veolianorthamerica.com. Parhaista käytännöistä huolimatta onnettomuuksia (kemikaalivuodot, väärä hävittäminen) voi ja on tapahtunut, mikä voi aiheuttaa paikallista ympäristöhaittaa. Toinen näkökulma on pakkausjäte – valmistuksessa käytetään paljon kertakäyttöisiä muovisäiliöitä, käsineitä, suojavaatteita jne. puhdastiloissa. Monet yritykset pyrkivät nyt vähentämään ja kierrättämään myös tätä kiinteää jätettä blog.veolianorthamerica.com. On myös e-jätettä jatkossa, mutta se liittyy enemmän valmiiden elektroniikkatuotteiden hävittämiseen kuin itse sirujen valmistukseen.
- Ilmastonmuutokseen sopeutuminen: Ironista kyllä, ilmastonmuutos uhkaa suoraan sirujen tuotantoa, vaikka siruja tarvitaan ilmastonmuutoksen torjumiseen. Tehtaat sijaitsevat paikoissa, joissa koetaan yhä enemmän äärimmäisiä sääilmiöitä: taifuunit Itä-Aasiassa, helleaallot ja kuivuus (esim. Yhdysvaltojen länsiosat, Taiwan) jne. CNBC:n vuoden 2024 raportti toi esiin, kuinka yksi myrsky tai tulva, joka iskee avainasemassa olevaan “sirukaupunkiin”, voi horjuttaa toimitusketjua – esimerkiksi hypoteettinen taifuuni Helene, joka iskisi Taiwanin Hsinchun kaupunkiin (jossa TSMC:n pääkonttori sijaitsee), voisi olla tuhoisa deloitte.com. Yritykset arvioivat nyt ilmastoriskejä laitoksilleen. Vesipula on keskeinen huolenaihe – vuoden 2023 kyselyssä 73 % johtajista oli huolissaan luonnonvarariskeistä (vesi) toiminnalleen weforum.org. Monet sisällyttävät ilmastonkestävyyttä, kuten rakentavat omia vesivarastoja, varavoimaa ja hajauttavat sijainteja maantieteellisesti. PricewaterhouseCoopers varoitti, että ilman sopeutumista jopa 32 % maailman puolijohdetuotannosta on vaarassa vuoteen 2030 mennessä ilmastoon liittyvän vesistressin ja muiden ilmastovaikutusten vuoksi pwc.com.
- Positiiviset aloitteet: Valoisammalta puolelta katsottuna ala on lisännyt kestävän kehityksen sitoumuksiaan. Vuoteen 2025 mennessä lähes kaikilla suurilla puolijohdeyrityksillä on jonkinlainen hiilidioksidipäästöjen vähennys- tai hiilineutraaliustavoite. TSMC tavoittelee 20 %:n päästövähennystä vuoteen 2030 mennessä (vuoden 2020 tasosta) ja nettonollaa vuoteen 2050 mennessä. Intel on asettanut tavoitteekseen nettonollapäästöt operaatioissaan vuoteen 2040 mennessä ja investoi vihreisiin tuotantolaitoksiin (se saavutti jo 82 % veden uudelleenkäytön ja 100 % vihreän sähkön Yhdysvaltain toimipisteissään vuonna 2022). Samsung on ilmoittanut vastaavista ympäristötavoitteista – esimerkiksi uusiutuvan energian hankinta ulkomaisiin toimintoihin ja prosessiensa energiatehokkuuden parantaminen. Toinen positiivinen asia on, että alan tuotteet auttavat vähentämään päästöjä muualla – esimerkiksi energiatehokkaat sirut pienentävät energiankulutusta datakeskuksissa ja elektroniikassa; uusiutuvan energian järjestelmissä käytettävät sirut parantavat sähköverkon tehokkuutta. SIA:n (Semiconductor Industry Association) tutkimuksen mukaan jokaista puolijohdealan tuottamaa CO₂-tonnia kohden sirujen mahdollistamat teknologiat auttoivat vähentämään useita tonneja muilla aloilla (energiasäästöjen kautta). On kiistanalaista, kumoaako tämä jalanjäljen, mutta on selvää, että puolijohteet ovat avainasemassa ilmastoratkaisuissa (älyverkot, sähköautot jne.).
Esimerkkinä saavutuksista: Sonyn puolijohdeyksikkö Japanissa kertoi, että yksi sen tuotantolaitoksista kierrättää noin 80 % jätevedestään ja rakentaa uusia kierrätyslaitoksia parantaakseen tätä weforum.org. Monet yritykset ovat liittyneet Responsible Business Alliance -aloitteisiin kestävien toimitusketjujen puolesta varmistaakseen, että niiden käyttämät mineraalit (esim. koboltti, tantaali) ovat konfliktivapaita ja vastuullisesti louhittuja. Lisäksi konsortioita muodostetaan laajojen ongelmien ratkaisemiseksi yhdessä – esimerkiksi IMEC Belgiassa pyörittää ohjelmia kestävän puolijohdetuotannon edistämiseksi, tutkien vaihtoehtoja PFC-kaasuille ja keinoja vähentää energiankulutusta per kiekko.
Yhteenvetona, puolijohteiden valmistuksen ympäristövaikutus ei ole vähäinen ja sitä on hallittava. Hyvä uutinen on, että alan johtajat tunnustavat tämän. Kuten eräässä Deloitten raportissa todettiin, biljoonan dollarin arvoisten sirujen valmistaminen vuonna 2030 vaikuttaa ympäristöön – kysymys on, miten vaikutuksia voidaan lieventää www2.deloitte.com. Tie eteenpäin sisältää lisää läpinäkyvyyttä (yritykset julkaisevat vesi- ja hiilitietoja), tieteeseen perustuvien tavoitteiden asettamista päästöille, investointeja kiertotalouskäytäntöihin (esim. kemikaalien uudelleenkäyttö, nollajäte kaatopaikalle -tavoitteet blog.veolianorthamerica.com), sekä yhteistyötä hallitusten kanssa (esim. uusiutuvan energian ja vedenkäsittelyn infrastruktuuri). Myös kuluttajat ja sijoittajat vaativat vihreämpiä käytäntöjä – suuret sirujen ostajat kuten Apple haluavat esimerkiksi, että niiden toimitusketju (mukaan lukien sirutoimittajat kuten TSMC) käyttää 100 % uusiutuvaa energiaa. Tämä ulkoinen paine auttaa vauhdittamaan muutosta.
Joten vaikka siruteollisuudella on vielä työtä tehtävänä ympäristöjalanjälkensä pienentämiseksi, se ottaa merkittäviä askeleita. Loppujen lopuksi veden ja energian säästäminen usein merkitsee myös kustannussäästöjä pitkällä aikavälillä. Ja maailmassa, jossa kestävyys on yhä tärkeämpää, menestyminen ”vihreässä siruvalmistuksessa” voi muodostua uudeksi kilpailueduksi. Saatamme jopa nähdä teknologioita kuten uudet kuivaetsausmenetelmät (vähemmän kemikaaleja käyttäen) tai PFC-kaasujen korvikkeet muodostuvan vakiokäytännöiksi ympäristötietoisen T&K:n ajamana. Toiveena on, että seuraava puolijohdealan kasvuvaihe voidaan saavuttaa tavalla, joka toimii yhdessä ympäristön kanssa, ei sitä vastaan blog.veolianorthamerica.com – varmistaen, että sirujen vauhdittama digitaalinen vallankumous on kestävää planeetalle.
Työvoima- ja osaamishaasteet
Puolijohteiden valmistus ei ole pelkästään puhdastiloja ja koneita – se perustuu pohjimmiltaan erittäin erikoistuneiden ihmisten osaamiseen. Ja tässä kohtaa ala kohtaa kriittisen haasteen: kasvava osaajapula ja osaamisvaje. Kun valtiot investoivat uusiin tehtaisiin ja T&K:hon, herää kysymys: kuka työllistää nämä laitokset ja vie innovaatiota eteenpäin, erityisesti aikana, jolloin nykyinen työvoima ikääntyy ja nuorempi sukupolvi suuntautuu ohjelmistojen tai muiden alojen pariin?
Keskeisiä kysymyksiä ja kehityskulkuja puolijohdealan työvoimasta:
- Ikääntyvä työvoima & eläköitymisaalto: Monilla alueilla nykyinen puolijohdeinsinöörien työvoima painottuu vanhempiin, kokeneisiin ammattilaisiin – ja suuri joukko on lähestymässä eläkeikää. Esimerkiksi Yhdysvalloissa ”55 % puolijohdealan työvoimasta on yli 45-vuotiaita, kun taas alle 35-vuotiaita on alle 25 %,” kesällä 2024 deloitte.com. Euroopassa tilanne on samankaltainen: ”20 % Euroopan puolijohdetyöntekijöistä on yli 55-vuotiaita, ja noin 30 % Saksan puolijohdealan työvoimasta odotetaan jäävän eläkkeelle seuraavan vuosikymmenen aikana,” EE Timesin analyysin mukaan deloitte.com. Tämä on uhkaava ”osaamiskato”, kun kokeneet asiantuntijat poistuvat. Alalla on riski menettää vuosikymmenten institutionaalinen tieto nopeammin kuin sitä ehditään korvata – kuten Deloitten osaajatutkimuksessa todetaan, jossa varoitetaan ”epäjohdonmukaisesta tiedonsiirrosta ja liian vähäisestä uusien osaajien määrästä tiedon omaksumiseen” deloitte.com.
- Riittämätön uusi osaajapolku: Perinteisesti urat sirutekniikan parissa (olipa kyseessä sähkötekniikka, materiaalitiede tai laitehuolto) eivät ole houkutelleet yhtä suurta nuorten osaajien joukkoa kuin esimerkiksi ohjelmistokehitys tai datatiede. Työtä pidetään usein erikoistuneempana, se vaatii korkeampia tutkintoja, ja alan vetovoima valmistuneiden keskuudessa on hiipunut PC-buumin päivistä. SEMI:n ja Deloitten yhteistutkimus jo vuodelta 2017 nosti esiin ”uhkaavan osaajavajeen” ja totesi, että puolijohdeteollisuus kamppailee brändäyksen ja arvolupauksen kanssa uusille valmistuneille deloitte.com. Vuonna 2023–2024, alan huipputeknologiasta huolimatta, yhä harvempi opiskelija valitsee puolijohteisiin liittyviä aloja, ja yritykset raportoivat vaikeuksista täyttää paikkoja niin aloitustasolta kuin tohtoritason tutkijoihin asti. Lopputuloksena: paljon avoimia työpaikkoja, vähän päteviä hakijoita. Tämä on erityisen akuutti alueilla, jotka yrittävät kasvattaa sirutuotantoa matalalta tasolta (esim. Yhdysvallat, jonka on koulutettava paljon lisää teknikoita uusiin tehtaisiin, tai Intian alkuvaiheen ponnistelut).
- Alueelliset epäsuhta ja TSMC:n Arizonan opetus: Yksi otsikoihin noussut esimerkki osaajapulasta oli TSMC:n viivästys Arizonassa. TSMC rakentaa 40 miljardin dollarin tehdasta Arizonaan – yhtä Yhdysvaltojen keskeisistä hankkeista tuoda huipputason sirutuotantoa maahan. Kuitenkin kesällä 2023 TSMC ilmoitti, että tehtaan avaus siirtyy vuodesta 2024 vuoteen 2025, vedoten ”riittämättömään määrään ammattitaitoisia työntekijöitä” paikallisessa työvoimassa manufacturingdive.com. Yritys ei löytänyt tarpeeksi yhdysvaltalaisia työntekijöitä, joilla olisi erikoisosaamista edistyneiden tehtaiden laitteiden rakentamiseen ja asennukseen, ja kohtasi ”ammattiliittojen vastustusta yrityksille tuoda työntekijöitä Taiwanista” avuksireuters.com. TSMC:n oli lähetettävä satoja kokeneita teknikkojansa Taiwanista Arizonaan kouluttamaan paikallisia ja viimeistelemään puhdastilojen asennus. Yhtiön puheenjohtaja Mark Liu totesi, että jokaisessa uudessa projektissa on oppimiskäyrä, mutta antoi ymmärtää, että Yhdysvaltojen työvoimapula oli vakava este reuters.com. Tämä tilanne korostaa, että osaaminen on keskittynyt olemassa oleviin keskuksiin (kuten Taiwan huipputason valmistuksessa) eikä siirry helposti. Nyt Yhdysvaltojen tehdashankkeet (Intelin uudet tehtaat, Samsungin Texasin laajennus jne.) tehostavat rekrytointia ja koulutusta, tekevät yhteistyötä ammattikorkeakoulujen ja teknillisten yliopistojen kanssa osaajien kehittämiseksi. Mutta uuden valmistuneen kouluttaminen kokeneeksi puolijohdeprosessien insinööriksi voi viedä vuosia käytännön kokemusta. Kotimaisen osaamisen kasvattaminen voi siis jäädä jälkeen tehtaiden rakentamisen tahdista.
- Kiinan osaajajahti: Samaan aikaan Kiina etsii aggressiivisesti puolijohdeosaajia maailmanlaajuisesti ylittääkseen teknologiset rajoitteensa. Kuten mainittua, länsimaiden rajoittaessa teknologian siirtoa Kiina on kääntynyt rekrytoimaan yksilöitä. Reutersin tutkimus vuonna 2023 paljasti, että Kiina on hiljaisesti palkannut satoja insinöörejä Taiwanin TSMC:ltä ja muista yrityksistä, tarjoten palkkapaketteja, jotka ovat joskus kaksinkertaisia aiempaan palkkaan verrattuna sekä etuja, kuten asunto deloitte.com. Tavoitteena on tuoda osaamista kiinalaisiin puolijohdetehtaisiin ja suunnittelutoimistoihin (osittain peilaten sitä, miten Taiwan alun perin rakensi teollisuutensa tuomalla takaisin Yhdysvalloissa 1980-luvulla koulutettuja insinöörejä). Tämä on kuitenkin aiheuttanut jännitteitä – Taiwan on jopa käynnistänyt tutkimuksia ja tiukentanut lakeja estääkseen immateriaalioikeuksien vuotamisen osaajakaappauksen kautta. Myös Yhdysvallat kieltää nyt kansalaisiaan (ja vihreän kortin haltijoita) työskentelemästä tietyille kiinalaisille puolijohdeyrityksille ilman lupaa deloitte.com, havaittuaan monien amerikkalaisyritysten entisten työntekijöiden ottaneen tuottoisia työpaikkoja Kiinassa. Tästä huolimatta ”osaajasota” tarkoittaa, että kokeneet insinöörit ovat maailmanlaajuisesti kovassa kysynnässä ja palkat nousevat. Tämä on hienoa insinööreille, mutta voi olla ongelmallista yrityksille ja alueille, jotka eivät pysty kilpailemaan varakkaampien tarjoajien palkkojen kanssa (olipa kyseessä valtion tukema kiinalainen startup tai Yhdysvaltain CHIPS-lain rahoittama tehdas).
- Koulutus- ja osaajakehityshankkeet: Osaajapulan tunnistaen on syntynyt lukuisia aloitteita. CHIPS-lain puitteissa Yhdysvallat on osoittanut varoja paitsi tehtaille myös työvoiman kehittämiseen – tehden yhteistyötä yliopistojen ja ammattikorkeakoulujen kanssa uusien puolijohdekoulutusohjelmien luomiseksi bipartisanpolicy.org. Esimerkiksi Purdue University käynnisti Semiconductor Degrees Program -ohjelman, jonka tavoitteena on valmistua satoja puolijohdeinsinöörejä vuosittain, ja Arizona State University laajentaa ohjelmiaan tukeakseen TSMC:n läsnäoloa. Samoin Euroopan Chips Act sisältää stipendejä ja maiden välisiä koulutusverkostoja mikroelektroniikka-asiantuntijoiden kasvattamiseksi. Yritykset lisäävät myös sisäistä koulutusta; Intelillä on esimerkiksi pitkäaikainen ”tehdaskorkeakoulu” sisäisesti ja se laajentaa harjoittelu- ja työharjoitteluohjelmiaan. Yksi haaste kuitenkin on, että suuri osa puolijohdetuotannon hiljaisesta tiedosta ei löydy oppikirjoista – se opitaan tekemällä tehtaissa. Siksi osaajien määrän kasvattaminen vaatii sekä muodollista koulutusta että käytännön oppisopimuksia olemassa olevissa laitoksissa. Hallitukset saattavat jopa höllentää maahanmuuttosääntöjä houkutellakseen ulkomaista osaamista (Yhdysvallat harkitsee erityistä viisumikategoriaa puolijohdeasiantuntijoille, ja Japani on houkutellut taiwanilaisia ja korealaisia insinöörejä Rapiduksen henkilöstöön).
- Työkulttuuri ja houkuttelevuus: Toinen haaste on tehdä puolijohdealan urapolusta houkutteleva. Ala voi olla vaativa – tehtaat toimivat ympäri vuorokauden, insinöörit tekevät usein vuorotyötä, ja vaadittu tarkkuus tarkoittaa korkean paineen ympäristöä. Kuten Reuters totesi, TSMC huomasi, että amerikkalaiset työntekijät olivat vähemmän halukkaita kestämään sirutehtaiden “raskasta” ympärivuorokautista työaikataulua verrattuna Taiwanin tai Japanin työntekijöihin reuters.com. Japanissa pitkien työpäivien tekeminen on kulttuurinen normi, mikä sopii sirutehtaiden tarpeisiin, kun taas Yhdysvalloissa työ- ja vapaa-ajan tasapainon odotukset voivat olla ristiriidassa yövuorojen tarpeen kanssa. Yritysten voi olla tarpeen sopeutua (esim. lisäämällä automaatiota yövuorojen vähentämiseksi tai tarjoamalla kannustimia epäsuosittujen vuorojen tekemiseen). Ala voisi myös parantaa imagoaan korostamalla työn siistiä ja vaikuttavaa luonnetta – olet mahdollistamassa teknologian tulevaisuutta – sekä edistämällä monimuotoisuutta ja osallisuutta (ala on perinteisesti ollut miesvaltainen ja voisi hyödyntää enemmän aliedustettuja ryhmiä). Historiallinen glamourin puute verrattuna ohjelmistoalaan on hieman hälvenemässä, koska puolijohteet ovat nyt usein uutisissa, mutta jatkuva tiedottaminen on avainasemassa.
- Osaajapula lukuina: Konkretisoidakseen SEMI (alan järjestö) arvioi loppuvuodesta 2022, että vuoteen 2030 mennessä alalla voi olla noin 300 000 osaavan työntekijän globaali pula, jos nykyiset trendit jatkuvat. Tämä kattaa kaiken tohtoritason tutkijoista laitehuoltoteknikoihin. Akuuteimmat puutteet ovat laiteinsinööreissä, valmistusprosessien insinööreissä ja EDA-ohjelmistojen asiantuntijoissa. EDA-yritykset kuten Synopsys raportoivat myös tarvitsevansa lisää algoritmi- ja tekoälyosaajia kehittääkseen seuraavan sukupolven suunnittelutyökaluja (joissa on nyt mukana tekoälyä – siruja suunnitellaan sirujen avulla!). Toinen segmentti ovat teknikkotasoiset työpaikat – 2-vuotisen teknisen tutkinnon suorittaneet, jotka käyttävät ja huoltavat tehdaslaitteita. Yhdysvaltojen kaltaiset maat ovat viime vuosikymmeninä investoineet liian vähän ammatilliseen koulutukseen tällaisiin tehtäviin, joten tämän koulutusputken uudelleenrakentaminen on ratkaisevan tärkeää.
- Kansainvälinen yhteistyö vs. rajoitukset: Mielenkiintoista kyllä, vaikka osaajatarpeet ovat globaaleja, jotkin politiikat vaikeuttavat osaajien liikkuvuutta. Yhdysvaltojen vientisäännöt rajoittavat paitsi laitteistoja myös inhimillistä osaamista (Yhdysvaltain kansalaiset tarvitsevat luvan työskennelläkseen tietyissä kiinalaisissa tehtaissa). Tämä voi rajoittaa asiantuntijoiden joukkoa, jotka ovat halukkaita tai kykeneviä työskentelemään tietyissä paikoissa, mikä käytännössä segmentoi työmarkkinat. Toisaalta liittolaismaat harkitsevat tapoja jakaa osaamista – esimerkiksi “osaajavaihto”-ohjelma amerikkalaisten ja taiwanilaisten tehtaiden välillä insinöörien ristiinkoulutukseen, tai EU:n ja Yhdysvaltojen keskinäinen pätevyyksien tunnustaminen, jotta insinöörit voisivat siirtyä projekteihin helpommin.
- Palkkaus ja kilpailu: Osaajapula on johtanut palkkojen nousuun alalla, mikä on hyvä houkutellakseen ihmisiä, mutta lisää myös yritysten kustannuksia. Vuosina 2021–2022 jotkut puolijohdeyritykset antoivat huomattavia palkankorotuksia tai bonuksia pitääkseen työntekijät. TSMC:n kerrotaan tarjonneen yli 20 %:n palkankorotuksia vuonna 2022, kun kilpailijat yrittivät houkutella työntekijöitä. Alueilla kuten Intiassa, joissa sirusuunnittelijoiden palkat ovat perinteisesti olleet matalampia, monikansalliset yritykset tarjoavat nyt huomattavasti korkeampia palkkoja estääkseen osaajien siirtymisen kilpailijoille tai ulkomaille. Kaikki tämä on hienoa ammattilaisille, mutta voi kaventaa yritysten katteita tai vaikuttaa siihen, minne ne laajentavat toimintaansa (ne saattavat etsiä alueita, joissa on hyvä koulutusjärjestelmä mutta silti kohtuulliset työvoimakustannukset – yksi syy siihen, miksi Intel ja muut katsovat esimerkiksi Ohion tai New Yorkin osavaltion suuntaan kuumimpien työmarkkinoiden sijaan).
Yhteenvetona, puolijohdealan osaajapula on kriittinen rajoite alan kunnianhimoisille laajentumissuunnitelmille. Tässä on hieman ironiaa: voimme käyttää miljardeja uusiin tehtaisiin, mutta ilman osaavaa henkilöstöä ne ovat vain tyhjiä kuoria. Kuten SIA:n puheenjohtaja sanoi vuonna 2022, “Et voi saada valmistuksen uutta nousua ilman työvoiman uutta nousua”. Seuraavat vuodet tuovat mukanaan määrätietoisen pyrkimyksen innostaa ja kouluttaa seuraavan sukupolven siruasiantuntijoita. Tämä voi tarkoittaa insinöörikoulutuksen päivittämistä sisältämään enemmän puolijohteiden valmistukseen liittyvää sisältöä, houkuttelevien stipendeiden tarjoamista ja jopa STEM-lähettilästoiminnan aloittamista jo lukiossa, jotta opiskelijat innostuvat “rakentamaan seuraavan miljardin transistorin sirun” pelkän uuden sovelluksen kirjoittamisen sijaan.
Sillä välin yritykset käyttävät väliaikaisratkaisuja: kouluttavat insinöörejä lähialoilta, palkkaavat eläkeläisiä konsultteina ja hyödyntävät enemmän automaatiota ja tekoälyä vähentääkseen työvoiman tarvetta tehtaissa. Myös hallitukset voivat säätää maahanmuuttoa – esimerkiksi Yhdysvallat voisi liittää vihreän kortin sellaisten amerikkalaisista yliopistoista valmistuneiden tohtorien tutkintotodistukseen, joilla on alaan liittyvä osaaminen, jotta he jäisivät maahan.
Panokset ovat kovat: jos osaajapulaan ei puututa, siitä voi tulla pullonkaula, joka hidastaa innovaation ja kapasiteetin kasvua, mikä heikentää monen miljardin dollarin sirualoitteiden tavoitteita. Toisaalta, jos onnistumme innostamaan uuden sukupolven osaajia mikroelektroniikan pariin, tämä inhimillinen pääoma voi ylläpitää puolijohteiden uutta kulta-aikaa. Kuten eräs asiantuntija totesi: “Siruteollisuuden tärkein resurssi ei ole pii, vaan aivot.” Ja sen varmistaminen, että meillä on riittävästi näitä aivoja työskentelemässä puolijohteiden parissa, on yhtä tärkeää kuin mikään muu tässä raportissa käsitelty tekijä.
Puolijohteita kutsutaan usein “teknologian DNA:ksi”, ja tämä syväluotaus osoittaa miksi. Sirujen toiminnan fysiikasta, monimutkaisesta globaalista valmistuksesta aina strategisiin ja inhimillisiin haasteisiin, jotka muovaavat niiden tulevaisuutta – sirut ovat tieteen, talouden ja geopolitiikan risteyskohdassa. Vuonna 2025 maailma herää todellisuuteen, että se, joka johtaa puolijohteiden tuotannossa, johtaa modernia taloutta. Siksi näemme miljardiluokan panostuksia, kansainvälistä kilpailua osaajista ja materiaaleista sekä huimaa innovaatiota samanaikaisesti.
Tavalliselle yleisölle kaikki tämä saattaa tuntua etäiseltä – kunnes ei enää tunnukaan. Sirupula voi tehdä autoista kalliimpia tai laitteista saavuttamattomia; poliittinen muutos voi ratkaista, onko seuraavassa älypuhelimessa mullistava vai jäljessä laahaava prosessori. Hyvä uutinen on, että vuoden 2024 aikana ja vuonna 2025 investoinnit virtaavat vahvistamaan ja uudistamaan toimitusketjua, jännittäviä uusia teknologioita on tulossa, ja alan asiantuntijat tekevät yhteistyötä pullonkaulojen ratkaisemiseksi litografiasta työvoiman koulutukseen. Puolijohteiden tuotannon tarina on todella jatkuvaa uudistumista – juuri kun näyttää siltä, että olemme saavuttaneet rajan, insinöörit löytävät uuden tien (olipa kyseessä 3D-sirut, EUV tai jokin vielä tuleva).
Tulevina vuosina kannattaa seurata muutamaa asiaa: Tuottavatko Yhdysvaltojen ja EU:n tehdashankkeet nopeasti tulosta? Saavuttaako Kiina kunnianhimoiset omavaraisuustavoitteensa pakotteista huolimatta? Jatkuvatko Mooren lain seuraajat, kuten chipletit, suorituskyvyn parantamista? Voiko ala vihertyä ja houkutella monipuolista osaamista? Vastaukset muovaavat paitsi käyttämäämme teknologiaa, myös 2000-luvun geopoliittista ja taloudellista maisemaa.
Yksi asia on varma: nämä pienet sirut ovat nousseet valtavan tärkeiksi. “Sirusodat” ja piisota jatkuvat, mutta toivottavasti kilpailun kautta, joka edistää innovaatioita, ja yhteistyön kautta, joka takaa vakauden. Lopulta jokainen kuluttaja ja jokainen maa hyötyy, jos puolijohde-ekosysteemi pysyy elinvoimaisena, turvallisena ja kestävänä. Kuten olemme nähneet, se vaatii taitavaa otetta kaikessa atomitasosta kauppapolitiikkaan. Maailma seuraa – ja investoi – tähän alaan ennennäkemättömällä tavalla.
Jos haluat oppia lisää tai pysyä kehityksen mukana, tässä on julkisia lähteitä ja lisälukemista puolijohteiden tuotannosta ja alan trendeistä:
- Semiconductor Industry Association (SIA) – State of the Industry Reports: Yksityiskohtaiset vuosiraportit, joissa on uusimmat tiedot myynnistä, investoinneista ja politiikkapäivityksistä deloitte.com.
- Deloitten Semiconductor Outlook 2025: Markkinatrendien analyysi, mukaan lukien tekoälyn kysynnän, osaajapulan ja geopoliittisten tekijöiden vaikutukset deloitte.comdeloitte.com.
- “Chip War” – Chris Miller: Erittäin suositeltu kirja, joka antaa historiallisen näkökulman Yhdysvaltojen ja Kiinan väliseen puolijohdekilpailuun ja siihen, miten tähän on päädytty.
- EE Times ja Semiconductor Engineering: Alan julkaisuja, jotka käsittelevät päivittäin teknologisia läpimurtoja, toimitusketjuongelmia ja yritysten tiekarttoja – erinomainen tapa pysyä ajan tasalla 3nm/2nm prosessikehityksestä, uusista siruarkkitehtuureista jne.
- World Economic Forum & Ceres -raportit puolijohteiden kestävyydestä: Näissä käsitellään ympäristövaikutuksia ja toimia, joilla puututaan veden ja energian käyttöön siruvalmistuksessa weforum.org, blog.veolianorthamerica.com.
- Yritysten verkkosivut ja blogit (TSMC, Intel, ASML): Monet alan johtajat julkaisevat opetusmateriaaleja tai päivityksiä (esim. Intelin 2030 RISE -tavoitteet kestävyyden suhteen, ASML:n tekniset katsaukset EUV:sta).
Seuraamalla näitä lähteitä voi seurata reaaliajassa, kuinka puolijohteiden tuotannon draama etenee – draama, jossa yhdistyvät huipputeknologia ja korkean panoksen globaali strategia. Ei ole liioittelua sanoa, että tulevaisuus on sirujen ohjaama, ja siksi tämän alan ymmärtäminen on yhä tärkeämpää kaikille, jotka ovat uteliaita siitä, mihin maailma on menossa.
Puolijohteet voivat olla pieniä, mutta ne kantavat modernin maailman painoa – ja nyt olemme raottaneet verhoa siitä, miten niitä valmistetaan, kuka niitä tekee ja miksi niistä on tullut sekä innostuksen että jännitteiden keskipiste maailmanlaajuisesti. steveblank.com
___________________________________________________
2025 puolijohdeteollisuuden näkymät | Deloitte Insights
Kestävän kehityksen polun rakentaminen puolijohdeteollisuudelle
Steve Blank Puolijohde-ekosysteemi – Selitettynä
Mikä on puolijohde ja mihin sitä käytetään? | Määritelmä TechTargetilta
CHIPSin ymmärtäminen, osa yksi: Puolijohteiden valmistuksen haaste | Bipartisan Policy Center
Suurimmat puolijohteiden valmistusmaat 2020-2030: Tuotanto- ja vientitilastot | PatentPC
43 miljardin euron EU:n Chips Act saa vihreää valoa. – TechHQ
Chips Act: Neuvosto antaa lopullisen hyväksyntänsä – Consilium.europa.eu
Haasteiden muuttaminen mahdollisuuksiksi globaalissa puolijohde…
TSMC arvostaa Japanin siruosaamista Yhdysvaltojen kompastelun jälkeen, lähteet kertovat | Reuters
CHIPSin ymmärtäminen, osa yksi: Puolijohteiden valmistuksen haaste | Bipartisan Policy Center
Sirujen muutos: kehittyvät liitäntästandardit ja kaupalliset …
CHIPS T&K -ohjelmat – Puolijohdeteollisuuden yhdistys
Mooren lain loppu ei hidasta muutoksen tahtia
Globalisaatio on ohi, TSMC:n perustajan mukaan • The Register
ASML:n toimitusjohtaja sanoo Yhdysvaltojen halun rajoittaa vientiä Kiinaan olevan ’taloudellisesti motivoitua’ | Reuters
2025 Teollisuuden tilan raportti: Sijoittaminen ja innovaatio epävarmuuden keskellä…
CHIPSin ymmärtäminen, osa yksi: Puolijohteiden valmistuksen haaste | Bipartisan Policy Center
Kolmasosa (32 %) ennustetusta 1 biljoonan Yhdysvaltain dollarin puolijohteiden toimitusketjusta…
Puolijohteiden valmistus ja suurten teknologiayritysten vesiongelma | Maailman talousfoorumi
Kestävän tulevaisuuden rakentaminen puolijohdeteollisuudelle
Puolijohteiden valmistus ja suurten teknologiayritysten vesiongelma | Maailman talousfoorumi
TSMC saavuttaa sopimuksen Arizonan ammattiliiton kanssa 40 miljardin dollarin sirutehdashankkeesta