Révolution du silicium 2025 : superpuces IA, percées des chiplets et boom mondial des circuits intégrés

septembre 5, 2025
Silicon Revolution 2025: AI Superchips, Chiplet Breakthroughs, and a Global IC Boom
Superchips, Chiplet Breakthroughs, and a Global IC Boom
  • Les ventes mondiales de puces en avril 2025 ont atteint 57 milliards de dollars, en hausse de 22,7 % sur un an.
  • Les analystes prévoient un chiffre d’affaires des semi-conducteurs d’environ 700 milliards de dollars en 2025, avec une trajectoire vers 1 000 milliards de dollars d’ici 2030.
  • Apple a lancé des systèmes sur puce en 3 nm, l’A17 Bionic dans les iPhones et le M3 dans les Macs.
  • Les processeurs Panther Lake d’Intel, attendus fin 2025, seront fabriqués selon le procédé 18A (~1,8 nm) et sont décrits comme les processeurs les plus avancés jamais conçus aux États-Unis.
  • AMD a lancé les accélérateurs IA MI300/MI350, dont un système clé en main Helios avec 72 GPU MI400.
  • NVIDIA prévoit de fabriquer des puces IA aux États-Unis, investissant jusqu’à 500 milliards de dollars dans de nouvelles capacités de production pour ses GPU Blackwell et ses systèmes IA.
  • TSMC a commencé la production à risque de son procédé 2 nm (N2) en 2024 avec une production en volume attendue fin 2025, Samsung prévoit une production en 2 nm en 2025, et Intel vise le 18A avec GAA pour 2026–2027.
  • ASML a commencé à livrer les outils EUV haute NA EXE:5000 en 2025, chaque outil coûtant plus de 350 millions d’euros, tandis que TSMC retarde l’utilisation de la haute NA sur ses premiers N2 et qu’Intel prévoit la haute NA pour le 14A en 2026–2027.
  • L’écosystème des chiplets a gagné en dynamisme autour du standard Universal Chiplet Interconnect Express (UCIe), avec un Chiplet Summit 2025 et la tape-out par Cadence d’un chiplet système basé sur Arm.
  • Les semi-conducteurs automobiles devraient dépasser 85 à 90 milliards de dollars en 2025, les véhicules électriques haut de gamme embarquant pour plus de 1 000 dollars de puces et les exemples de conduite assistée par IA incluent NVIDIA Drive Orin/Thor, Mobileye EyeQ Ultra et Tesla Dojo D1.

Les circuits intégrés (CI) sont les moteurs invisibles de notre monde numérique, et 2025 s’annonce comme une année phare pour l’innovation des puces et la croissance du secteur. Après un léger ralentissement, le secteur des semi-conducteurs rebondit fortement – les ventes mondiales de puces en avril 2025 ont atteint 57 milliards de dollars, en hausse de 22,7 % par rapport à l’année précédente semimedia.cc. Les analystes prévoient qu’une croissance à deux chiffres portera le chiffre d’affaires annuel des semi-conducteurs à de nouveaux records (environ 700 milliards de dollars en 2025) semimedia.cc, deloitte.com, plaçant l’industrie sur la voie d’un marché ambitieux de 1 000 milliards de dollars d’ici 2030 deloitte.com. Cette envolée est alimentée par une demande explosive de processeurs IA, la construction massive de centres de données, et la reprise des commandes de puces automobiles et industrielles semimedia.cc, deloitte.com. Comme l’a résumé un dirigeant, « Tout ce qui est numérique fonctionne grâce aux semi-conducteurs », soulignant que les puces sont devenues aussi stratégiquement vitales que le pétrole dans l’économie moderne mitsloan.mit.edu. Dans ce rapport, nous explorerons les principaux développements de la technologie et du secteur des CI en 2025 – des avancées techniques révolutionnaires (pensez puces 3 nm, transistors à nanosheets et hybrides quantiques) aux tendances majeures du marché (comme l’accélération de l’IA, l’edge computing, le boom du silicium automobile) et aux courants géopolitiques qui redessinent le paysage mondial des puces.

Dernières innovations et actualités sur les puces en 2025

Processeurs de pointe : L’année 2025 a déjà vu l’arrivée de puces de nouvelle génération dans tous les secteurs de l’informatique. Dans l’électronique grand public, par exemple, la dernière puce 3 nm d’Apple (comme l’A17 Bionic dans les téléphones et la M3 dans les ordinateurs portables) illustre les progrès de la miniaturisation, intégrant des milliards de transistors supplémentaires pour des performances accrues avec une consommation réduite. Parallèlement, les CPU pour PC et serveurs adoptent de nouvelles architectures et de nouveaux conditionnements. Les futurs processeurs « Panther Lake » d’Intel, prévus pour fin 2025, seront les premiers fabriqués selon le procédé 18A d’Intel (environ 1,8 nm) et sont salués comme « les processeurs les plus avancés jamais conçus et fabriqués aux États-Unis » reuters.com. Le concurrent AMD migre également ses CPU vers les nœuds de pointe de TSMC : sa famille Zen 5 2024–25 utilise des variantes 4 nm et 3 nm, intégrant jusqu’à plusieurs dizaines de cœurs et même des moteurs d’accélération IA (bénéficiant de la technologie issue de l’acquisition de Xilinx par AMD) pour accélérer les tâches d’apprentissage automatique en.wikipedia.org, anandtech.com. Dans le domaine des graphismes et de l’IA, les dernières puces « Hopper » et les futures « Blackwell » de NVIDIA continuent de repousser les limites – ces puces disposent de dizaines de milliers de cœurs optimisés pour les calculs IA parallèles, et NVIDIA affirme que sa toute dernière superpuce IA pour datacenter est 30× plus rapide en inférence IA que la génération précédente techcrunch.com. De tels bonds illustrent comment le silicium spécialisé évolue plus vite que la loi de Moore traditionnelle. « Nos systèmes progressent bien plus vite que la loi de Moore, » a déclaré Jensen Huang, PDG de NVIDIA, attribuant ces gains exceptionnels aux innovations simultanées en architecture de puce, systèmes et logiciels techcrunch.comtechcrunch.com.

Boom des accélérateurs d’IA : Un thème clair en 2025 est la course aux armements dans les accélérateurs d’IA. Au-delà des GPU, presque tous les grands acteurs lancent des puces conçues sur mesure pour l’intelligence artificielle. NVIDIA reste dominant dans les puces IA haut de gamme, mais les concurrents gagnent du terrain. AMD, par exemple, a dévoilé sa nouvelle série MI300/MI350 d’accélérateurs IA pour centres de données à la mi-2025, affichant des améliorations de performance qui remettent en cause les offres phares de NVIDIA. Lors de son événement « Advancing AI » en juin 2025, AMD a même fait monter sur scène le PDG d’OpenAI pour annoncer que OpenAI adoptera les prochaines puces MI300X/MI400 d’AMD dans son infrastructure reuters.com. Le plan ambitieux d’AMD inclut un superordinateur IA clé en main (le serveur « Helios ») équipé de 72 GPU MI400 – directement comparable aux systèmes DGX de NVIDIA – et une stratégie de « collaboration ouverte ». « L’avenir de l’IA ne sera pas construit par une seule entreprise ou dans un écosystème fermé. Il sera façonné par une collaboration ouverte à travers l’industrie, » a déclaré Lisa Su, PDG d’AMD, dans une allusion à l’approche plus propriétaire de NVIDIA reuters.com. Les startups stimulent également l’innovation : des entreprises comme Cerebras (avec ses moteurs IA de la taille d’une tranche de silicium) et Graphcore (avec ses unités de traitement de l’intelligence) explorent de nouveaux designs de puces pour accélérer les réseaux neuronaux. Même les hyperscalers (Google, Amazon, Meta) ont leur propre silicium IA – par exemple, la TPU v5 de Google et les puces Inferentia d’Amazon – adaptées à leurs charges de travail massives. Le résultat est une diversité sans précédent de circuits intégrés optimisés pour l’IA, des superordinateurs cloud aux petites puces edge AI capables de faire tourner des réseaux neuronaux dans des smartphones ou des objets connectés.

Annonces notables pour 2025 : Plusieurs circuits intégrés (IC) très médiatisés ont été lancés ou annoncés en 2025. NVIDIA a fait sensation avec ses projets de fabrication de puces IA aux États-Unis pour la première fois – en partenariat avec TSMC et d’autres pour investir jusqu’à 500 milliards de dollars dans de nouvelles capacités de production américaines pour ses GPU et systèmes IA de nouvelle génération “Blackwell” manufacturingdive.com. Intel, au cœur d’un important redressement, a dévoilé un processeur PC client basé sur chiplet (la 14e génération Meteor Lake) qui combine des tuiles issues de différents nœuds de gravure et même de différentes usines – une première pour la gamme Intel – incluant un coprocesseur IA spécialisé pour permettre l’apprentissage automatique côté PC. Qualcomm, leader des SoC mobiles, a lancé sa plateforme Snapdragon 8 Gen3 avec des accélérateurs tensoriels IA renforcés pour l’IA générative embarquée (pensez aux fonctionnalités d’appareil photo et assistants vocaux IA sur votre téléphone). Dans l’automobile, Tesla a annoncé la puce Dojo D1 (gravée en 7 nm) pour alimenter son supercalculateur d’entraînement IA pour la conduite autonome, tandis que les fournisseurs traditionnels de puces automobiles (comme NXP, Infineon et Renesas) ont lancé de nouveaux processeurs de qualité automobile pour prendre en charge les derniers systèmes d’aide à la conduite et la gestion de l’énergie des véhicules électriques. Même les circuits analogiques et RF innovent – par exemple, de nouveaux émetteurs-récepteurs radio 5G et chipsets Wi-Fi 7 en 2025 promettent une connectivité sans fil plus rapide, et les avancées dans les puces analogiques (comme les convertisseurs de données haute performance et les circuits de gestion de l’alimentation) restent des compagnons essentiels des processeurs numériques. En résumé, l’actualité 2025 a été riche en puces plus rapides, plus intelligentes et plus efficaces dans tous les domaines, maintenant la loi de Moore en vie non seulement grâce à la miniaturisation des transistors mais aussi grâce à des conceptions ingénieuses et à l’optimisation spécifique à chaque domaine.

Progrès dans la conception, la fabrication et les matériaux des puces

Derrière ces percées produits se trouvent des avancées tout aussi importantes dans la façon dont les puces sont conçues et fabriquées. L’industrie des semi-conducteurs progresse sur plusieurs fronts – lithographie, architecture des transistors, packaging et matériaux – pour continuer à améliorer les performances et la densité même si la miniaturisation traditionnelle ralentit.

Lithographie EUV et nœuds de procédé 2 nm : En technologie de fabrication, 2025 marque la transition vers la génération 2 nm, amenant les premiers transistors nanosheet gate-all-around (GAA) en production de masse. TSMC et Samsung – les fonderies leaders – sont au coude-à-coude pour lancer leurs procédés 2 nm. Le 2 nm (N2) de TSMC est sur la bonne voie, avec une production à risque en 2024 et une fabrication en volume prévue pour fin 2025 en.wikipedia.org, ts2.tech. Il présente des FETs nanosheet de première génération et devrait offrir un saut complet de génération en vitesse et en efficacité énergétique. Samsung, qui a été pionnier des transistors GAA à 3 nm en 2022, prévoit également de démarrer la production 2 nm en 2025 en.wikipedia.org, bien que des rapports suggèrent que TSMC détient un avantage en termes de rendement et de calendrier ts2.tech. La feuille de route d’Intel est tout aussi ambitieuse : après avoir introduit le FinFET à 7 nm (Intel 4) et 4 nm (Intel 3), Intel passera au GAA avec ses nœuds 20A et 18A (~2 nm et ~1,8 nm). Lors du Symposium VLSI de juin 2025, Intel a détaillé que le 18A utilisera des transistors GAA plus de nouvelles techniques comme la distribution d’alimentation par l’arrière et des interconnexions innovantes, offrant une densité supérieure de >30 % et une vitesse ~20 % plus rapide (ou une consommation ~36 % inférieure) par rapport à son nœud 2023 ts2.tech. Les premières puces 18A (CPU portables Panther Lake d’Intel) sont attendues d’ici fin 2025 ts2.tech – à peu près au même moment où des clients de fonderie comme AMD prévoient leurs propres lancements 2 nm en 2026. Ainsi, d’ici 2025–26, l’industrie entrera officiellement dans l’« ère angström » du silicium sub-2 nm, avec plusieurs entreprises cherchant à revendiquer le leadership des procédés.

Pour permettre ces minuscules fonctionnalités, la lithographie la plus récente est essentielle. La lithographie Extreme Ultraviolet (EUV), fonctionnant à une longueur d’onde lumineuse de 13,5 nm, est désormais courante pour les nœuds 7 nm, 5 nm et 3 nm. L’étape suivante est High-NA EUV – des scanners EUV de nouvelle génération avec une ouverture numérique de 0,55 (contre 0,33 auparavant), capables d’imprimer des motifs encore plus fins. En 2025, le fabricant néerlandais d’équipements ASML a commencé à livrer les premières machines EUV high-NA (la série EXE:5000) aux fabricants de puces pour la R&D ts2.tech. Mi-2025, Intel, TSMC et Samsung ont chacun installé des outils high-NA de pré-série dans leurs laboratoires ts2.tech. Cependant, l’adoption reste prudente en raison du coût et de la complexité de la technologie. Chaque outil high-NA coûte plus de 350 millions d’euros (presque le double d’un scanner EUV actuel) ts2.tech. TSMC a déclaré ne pas avoir encore trouvé de “raison convaincante” d’utiliser le high-NA pour sa première vague 2 nm, préférant prolonger un peu plus l’EUV conventionnel ts2.tech. En fait, TSMC a confirmé qu’il n’utilisera pas le high-NA EUV sur son nœud initial N2 (surnommé “A16”) ts2.tech. Intel, en revanche, est à fond – il prévoit de déployer le high-NA EUV pour son procédé Intel 14A d’ici 2026–2027 afin de retrouver le leadership technologique ts2.tech. Intel a reçu son premier outil prototype high-NA en 2025 et vise une production pilote en 2026 ts2.tech. Le consensus de l’industrie est que 2025–2027 sera consacré à la validation du high-NA en production, avec une utilisation à grande échelle probable vers la fin de la décennie ts2.tech. Quoi qu’il en soit, ASML prépare déjà un outil high-NA de deuxième génération (EXE:5200) pour une expédition “prochaine”, qui sera le modèle de production nécessaire à une adoption à grande échelle dans les fabs ts2.tech. En résumé : la lithographie continue de progresser, certes à un coût astronomique – mais elle reste un levier clé pour maintenir la loi de Moore en vie.

Chiplets et emballage avancé : Alors que les puces monolithiques traditionnelles atteignent leurs limites de taille et de rendement, l’industrie adopte les architectures chiplet – décomposant une grande conception de puce en plus petits « chiplets » ou tuiles qui sont intégrés dans un boîtier. Cette approche a explosé en popularité en 2025 car elle répond à plusieurs points sensibles : de meilleurs rendements (les puces plus petites ont moins de défauts), la possibilité de combiner différents nœuds de fabrication pour différentes parties d’un système, et une réduction du temps de mise sur le marché et du coût pour des améliorations incrémentales community.cadence.com. En désagrégeant un système sur puce, les ingénieurs peuvent, par exemple, fabriquer des cœurs CPU sur un nœud de pointe tout en gardant les fonctions analogiques ou d’E/S sur un nœud moins cher, puis les connecter avec des interfaces à large bande passante. AMD a été un pionnier dans ce domaine – sa gamme de processeurs PC Zen à partir de 2019 utilisait des chiplets (plusieurs « dies » de cœurs CPU plus des dies d’E/S), et en 2025, même ses GPU et SoC adaptatifs utilisent des conceptions à chiplets. Intel avec Meteor Lake (2023/2024) a également introduit un CPU en tuiles avec des tuiles de calcul fabriquées sur le propre procédé d’Intel et une tuile graphique fabriquée par TSMC, toutes reliées par la technologie Foveros d’empilement 3D d’Intel. L’écosystème standardise rapidement les interconnexions de chiplets : la nouvelle norme UCIe (Universal Chiplet Interconnect Express), soutenue par tous les grands acteurs, définit une interface commune die-à-die afin que, dans le futur, les chiplets de différents fournisseurs ou fabriqués dans différentes fonderies puissent communiquer entre eux de manière transparente community.cadence.com. Cela pourrait permettre un « marché ouvert du chiplet » où des entreprises se spécialisent dans la fabrication de certaines tuiles (CPU, GPU, accélérateurs IA, IO, mémoire) que les sociétés de systèmes peuvent combiner à leur guise. La conception basée sur les chiplets promet ainsi une plus grande modularité et flexibilité, permettant en quelque sorte de faire évoluer la « loi de Moore » au niveau du boîtier même si les améliorations par transistor ralentissent community.cadence.com. Preuve de son essor, un Chiplet Summit 2025 a réuni les leaders de l’industrie pour définir des standards, et des conférences comme CHIPCon 2025 ont souligné que nous sommes « à l’avant-garde d’une révolution chiplet », avec des experts présentant de nouvelles méthodes d’intégration 2,5D/3D et de communication die-à-die micross.com. Même les entreprises EDA s’impliquent : Cadence Design, par exemple, a annoncé avoir réussi le tape-out d’une démo de « system chiplet » basé sur Arm, illustrant le support EDA et IP pour l’intégration multi-chiplet community.cadence.com.

En tandem avec les chiplets, les technologies d’emballage avancé sont cruciales. Celles-ci incluent l’emballage 2,5D (montage de chiplets sur un interposeur ou un substrat organique avec un routage dense) et l’empilement 3D (empilement littéral de puces les unes sur les autres et leur liaison). Les emballages CoWoS et SoIC de TSMC, le X-Cube de Samsung, et les EMIB et Foveros d’Intel sont tous des exemples de méthodes permettant de combiner plusieurs puces de silicium avec une grande densité. D’ici 2025, on voit même l’empilement mémoire-sur-logiciel dans les produits : les processeurs serveurs d’AMD proposent un cache empilé en 3D (une puce SRAM supplémentaire liée au-dessus de la puce CPU pour plus de mémoire cache), et les piles HBM (High Bandwidth Memory) sont couramment intégrées dans le même emballage que les GPU et accélérateurs IA pour atteindre une bande passante mémoire massive. Ces avancées en matière d’emballage permettent aux ingénieurs de surmonter certaines limites de la mise à l’échelle d’une seule puce en ajoutant plus de capacités verticalement. Les leaders de l’industrie notent que l’intégration hétérogène – mélangeant différents chiplets, mémoires, et même des puces photoniques ou de capteurs dans un même emballage – est désormais un moteur clé des gains systèmes lorsque la simple mise à l’échelle des transistors offre des rendements décroissants micross.com.

Nouveaux matériaux – Au-delà du silicium : Bien que le silicium reste l’élément principal, 2025 se distingue également par une adoption plus large des « semi-conducteurs à large bande interdite » et l’exploration de matériaux post-silicium. Dans l’électronique de puissance et les applications automobiles, les dispositifs à base de nitrure de gallium (GaN) et de carbure de silicium (SiC) connaissent une croissance rapide. Ces matériaux peuvent supporter des tensions plus élevées, des températures plus hautes et des vitesses de commutation plus rapides que le silicium, ce qui les rend idéaux pour les onduleurs de véhicules électriques (VE), les chargeurs à haut rendement et les stations de base 5G. En fait, les industries repoussant les limites de performance ont déjà, dans de nombreux cas, dépassé le silicium. « Les véhicules électriques adoptant des architectures 800V ne peuvent pas se permettre les pertes du silicium – ils exigent du SiC. Les centres de données et l’électronique grand public à la recherche de densité de puissance se tournent vers le GaN, » comme l’a souligné une analyse sectorielle microchipusa.com. En 2025, les transistors GaN ont atteint la parité de coût avec le silicium dans certaines applications grand public (comme les chargeurs rapides pour téléphones), et les dispositifs SiC montent en puissance avec une réduction des coûts d’environ 20 % par an microchipusa.com. Les analystes prévoient que plus de la moitié des nouveaux VE d’ici 2026 utiliseront des dispositifs de puissance SiC ou GaN à mesure que la technologie mûrit jakelectronics.com. Le résultat est une conversion d’énergie plus efficace – les onduleurs VE utilisant le SiC gagnent 5–10 % d’efficacité (ce qui se traduit par une plus grande autonomie) et les alimentations de centres de données utilisant le GaN permettent d’économiser beaucoup d’énergie et de frais de refroidissement microchipusa.com. En résumé, le GaN et le SiC réécrivent les règles de l’électronique de puissance, permettant des systèmes plus petits, plus froids et plus efficaces là où le silicium atteignait ses limites microchipusa.com.

Sur le plan de la recherche, des matériaux encore plus exotiques sont en préparation. En 2025, des démonstrations en laboratoire de matériaux semi-conducteurs 2D (comme les dichalcogénures de métaux de transition) ont été réalisées dans une puce CMOS prototype ts2.tech – une voie lointaine mais intrigante vers des canaux de transistors atomiquement fins qui pourraient un jour compléter ou remplacer le silicium. Les chercheurs étudient également les structures CFET (FET complémentaires), les nanotubes de carbone, ainsi que les matériaux spintroniques et ferroélectriques pour dépasser les limites actuelles du CMOS. La présentation par IBM en 2021 d’une puce test de 2 nm utilisant des transistors à nanofeuillets (un jalon sur lequel Samsung et TSMC se sont appuyés) illustre comment les percées passent du laboratoire à la fabrication en quelques années en.wikipedia.org. Et au-delà de la conduction électronique, la photonique intégrée émerge – 2025 a vu une intégration accrue des circuits intégrés photoniques pour la communication optique à haute vitesse entre puces (afin d’atténuer les goulets d’étranglement des interconnexions électriques) micross.com. En somme, bien que le silicium reste roi, l’industrie explore activement de nouveaux matériaux et de nouvelles physiques des dispositifs pour garantir les prochaines décennies de progrès en informatique.

IA, Edge, Automobile et Quantique : Principales tendances des CI en 2025

L’IA partout : du cloud aux appareils

La fièvre de l’IA générative a déferlé sur la tech l’an dernier, et en 2025 elle se manifeste dans la conception du silicium. Comme mentionné, les puces d’IA pour centres de données (GPU, TPU, FPGA, etc.) sont très demandées – le marché des puces accélératrices d’IA a plus que doublé en 2024 pour atteindre environ 125 milliards de dollars (plus de 20 % de toutes les ventes de semi-conducteurs) deloitte.com. Pour 2025, il est prévu qu’il dépasse 150 milliards de dollars deloitte.com. Cela a déclenché une ruée vers l’or parmi les entreprises de semi-conducteurs pour construire les meilleurs moteurs d’IA. Le PDG de NVIDIA, Jensen Huang, a même suggéré que nous assistons à une nouvelle loi de la performance informatique : « Nos puces d’IA progressent à un rythme bien plus rapide que la loi de Moore », a-t-il déclaré, attribuant cela à l’intégration verticale du silicium et des logiciels techcrunch.com. En effet, l’écosystème logiciel de NVIDIA (CUDA et bibliothèques d’IA) combiné à son silicium lui a donné un énorme avantage, mais des challengers émergent. Nous observons une spécialisation de l’IA à toutes les échelles : dans les centres de données cloud, les entreprises adoptent de plus en plus de processeurs dédiés à l’IA (par exemple, AWS d’Amazon propose des instances avec des puces Inferentia2 personnalisées, Google avec des pods TPU v4, etc.), tandis que dans les appareils grand public, de nouveaux NPU (Neural Processing Units) sont intégrés dans les smartphones, PC et même les appareils électroménagers pour gérer l’inférence IA localement. Les smartphones en 2025 disposent couramment de coprocesseurs IA capables d’effectuer des milliards d’opérations par seconde pour des tâches comme la traduction linguistique en temps réel, l’amélioration d’images ou la reconnaissance biométrique – le tout sans envoyer de données vers le cloud. Les fabricants de PC mettent également en avant les « PC IA » avec des puces comme la future série Core Ultra d’Intel (qui intègre un moteur neuronal issu de sa propriété intellectuelle Movidius) et les processeurs PC Oryon de Qualcomm, permettant des applications bureautiques assistées par IA et des fonctions de sécurité avancées exécutées localement sur l’appareil.

Une tendance notable est l’IA à la périphérie (edge AI) – l’exécution d’algorithmes d’IA sur des appareils IoT, des objets connectés et des capteurs. Cela a donné naissance à des circuits intégrés d’IA ultra-basse consommation et à TinyML (apprentissage automatique sur microcontrôleurs). Des startups comme Ambiq ont développé des microcontrôleurs dotés de matériel spécialisé capables d’effectuer des tâches d’IA simples avec seulement quelques milliwatts ; en fait, l’introduction en bourse d’Ambiq en 2025 a suscité l’enthousiasme car elle « surfe sur la vague de l’edge AI », illustrant l’engouement des investisseurs pour les puces qui apportent l’intelligence à la périphérie eetimes.com. De même, les puces analogiques d’IA de Mythic et les processeurs de vision IA de Himax sont des exemples d’acteurs de niche concevant des puces pour intégrer des réseaux neuronaux dans tout, des caméras intelligentes aux appareils auditifs. Le mouvement open-source AI croise également le matériel : des accélérateurs pour les frameworks open source d’IA populaires et la prise en charge de l’exécution sur des processeurs RISC-V, par exemple, sont annoncés, démocratisant l’IA au-delà des écosystèmes propriétaires. En résumé, l’accélération de l’IA n’est plus confinée aux superordinateurs – elle devient une fonctionnalité standard sur l’ensemble du spectre des circuits intégrés, adaptée aux besoins de puissance et de performance de chaque cas d’usage.

Le boom du silicium pour l’Edge Computing & l’IoT

La prolifération des appareils connectés – l’Internet des objets – continue d’être un moteur de croissance majeur pour les semi-conducteurs. L’edge computing, qui traite les données sur des appareils locaux (plutôt que dans des centres de données cloud), nécessite une nouvelle classe de circuits intégrés mettant l’accent sur l’efficacité, la sécurité et l’intégration. En 2025, nous voyons des microcontrôleurs et des puces sans fil expédiés en volumes impressionnants pour les capteurs intelligents, la domotique, les objets médicaux connectés et l’IoT industriel. Ces circuits intégrés « edge » deviennent plus performants : les microcontrôleurs modernes intègrent des cœurs 32 bits/64 bits (souvent Arm Cortex-M ou des cœurs RISC-V émergents) avec des extensions d’instructions IA, ainsi que des radios intégrées (Bluetooth, Wi-Fi, Zigbee, etc.) et une sécurité renforcée (moteurs cryptographiques, enclaves sécurisées) – en somme, des solutions système sur puce pour l’IoT. Par exemple, le dernier microcontrôleur Wi-Fi d’Espressif ou les puces EdgeLock de NXP intègrent toutes ces fonctionnalités pour permettre aux appareils edge de gérer localement des tâches de façon fiable, de la reconnaissance vocale dans une enceinte connectée à la détection d’anomalies sur un capteur industriel, tout en gardant les données chiffrées.

Il est important de noter que déplacer le calcul vers l’edge réduit la latence et peut améliorer la confidentialité (puisque les données brutes comme l’audio ou la vidéo n’ont pas besoin d’être envoyées vers le cloud). Conscients de cela, les grandes entreprises technologiques se concentrent également sur l’IA en périphérie – par exemple, en 2025, Microsoft et Qualcomm ont annoncé des efforts pour exécuter l’inférence de grands modèles de langage sur smartphones et PC, et le framework CoreML d’Apple permet le ML sur l’appareil pour les applications iOS en utilisant le Apple Neural Engine intégré à ses puces. Le marché des puces IA pour l’edge connaît donc une forte croissance. Un signe tangible : les entreprises de semi-conducteurs axées sur l’edge attirent l’attention des investisseurs, comme Ambiq, dont l’introduction en bourse a vu l’action s’envoler en 2025 grâce à l’optimisme autour du traitement IA ultra-basse consommation dans les wearables eetimes.com. De plus, l’architecture RISC-V – l’ISA CPU open source – s’impose fortement dans l’IoT et l’edge grâce à sa capacité de personnalisation et à l’absence de coût de licence. En 2025, des cœurs RISC-V sont intégrés dans d’innombrables puces IoT ; même certaines grandes entreprises (comme Infineon pour les MCU automobiles et Microchip pour les contrôleurs IoT) ont annoncé des transitions vers RISC-V pour leurs futures gammes de produits eetimes.com.

Tout cela signifie que le marché des semi-conducteurs pour appareils edge est en expansion. Plus d’appareils à la périphérie du réseau signifie plus de microcontrôleurs, de puces de connectivité, de capteurs et de circuits intégrés de gestion de l’alimentation vendus. La “teneur en silicium” des objets du quotidien augmente – des thermostats et lumières intelligents aux casques AR/VR et drones. Les rapports du secteur prévoient une croissance robuste dans ces segments jusqu’en 2025 et au-delà, alors que des milliards de nœuds IoT sont mis en ligne chaque année. Le défi pour les concepteurs de circuits intégrés edge est d’offrir des performances supérieures dans des contraintes strictes de puissance et de coût, et les avancées architecturales de 2025 (par exemple, petits accélérateurs IA, conceptions RISC-V efficaces) répondent à ce besoin.

Circuits intégrés automobiles : le nouveau moteur de croissance

Les voitures sont en réalité des ordinateurs sur roues, et cette réalité alimente un boom des semi-conducteurs automobiles. Les dernières années l’ont bien montré avec des pénuries de puces qui ont stoppé la production automobile ; désormais, en 2025, les constructeurs automobiles s’assurent avidement leur approvisionnement et conçoivent même des puces personnalisées. Les véhicules modernes – en particulier les modèles électriques et ceux capables d’autonomie – nécessitent des centaines de puces par voiture, allant de simples capteurs et régulateurs à des processeurs haut de gamme. Cela a fait du secteur automobile le segment majeur de l’industrie des puces à la croissance la plus rapide. Les analystes estiment que le marché des semi-conducteurs automobiles dépassera les 85 à 90 milliards de dollars en 2025 (soit une hausse d’environ 12 à 16 % sur un an) techinsights.com, autotechinsight.spglobal.com, et continuera de croître à mesure que la part d’électronique par véhicule augmente. Pour donner un ordre d’idée, les véhicules électriques haut de gamme peuvent embarquer plus de 1 000 $ de semi-conducteurs chacun, alimentant tout, de la gestion de la batterie et des onduleurs (qui utilisent de nombreux MOSFETs de puissance en SiC) aux systèmes d’infodivertissement, capteurs ADAS, modules de connectivité et des dizaines de microcontrôleurs pour diverses fonctions de carrosserie et de sécurité.

Les grandes tendances des circuits intégrés automobiles incluent : l’électrification, qui exige des composants électroniques de puissance et des circuits de gestion de batterie (où le SiC progresse fortement pour une conversion d’énergie efficace microchipusa.com), et l’automatisation, qui nécessite une informatique et une détection hautes performances. Des entreprises comme NVIDIA, Mobileye (Intel) et Qualcomm se livrent une concurrence féroce pour fournir les « cerveaux IA » de l’assistance à la conduite et de la conduite autonome. Les derniers SoC Drive Orin et Thor de NVIDIA intègrent des dizaines de milliards de transistors et effectuent des milliers de milliards d’opérations par seconde pour traiter en temps réel les données des caméras, radars et LiDAR ; de nombreux nouveaux modèles de VE et plateformes de robotaxis sont construits sur ces bases. Mobileye, pionnier des puces automobiles basées sur la vision, a lancé son EyeQ Ultra en 2025, visant la conduite entièrement autonome, tandis que la plateforme Snapdragon Ride de Qualcomm a été retenue par plusieurs constructeurs pour les systèmes de cockpit intelligent et d’ADAS. Tesla continue d’itérer sur sa puce FSD (Full Self-Driving) développée en interne pour l’Autopilot, illustrant la tendance des constructeurs à investir directement dans des puces sur mesure pour se différencier. Même Apple serait, selon la rumeur, en train de développer des puces automobiles (alors qu’il s’intéresse au secteur des VE et de la conduite autonome).

Côté chaîne d’approvisionnement, les constructeurs et les gouvernements ont tiré des leçons des pénuries de 2020–2021. On assiste à un effort pour plus de capacité dédiée aux puces automobiles (qui nécessitent des nœuds de fabrication plus anciens mais très fiables). TSMC, par exemple, a augmenté sa capacité en 28 nm et 16 nm pour les MCU automobiles, et de nouvelles usines (certaines aux États-Unis et au Japon avec le soutien des gouvernements) sont prévues, axées sur les semi-conducteurs automobiles et de puissance. De plus, des collaborations comme le partenariat entre Toyota et Denso pour la production de puces, et GM travaillant avec des fournisseurs de semi-conducteurs ont vu le jour pour sécuriser l’approvisionnement à long terme.

En somme, les semi-conducteurs sont devenus aussi essentiels que les moteurs pour définir les performances et les fonctionnalités d’une voiture. Cela alimente non seulement la croissance du marché mais aussi l’innovation : les puces automobiles sont désormais en tête dans certains domaines – par exemple, elles doivent souvent tolérer des températures extrêmes et offrir une grande longévité, ce qui pousse les technologies d’encapsulation et de matériaux ; et la connectivité automobile (communications V2X) est un domaine qui introduit des puces RF avancées dans les véhicules. D’ici 2025, il est clair que les entreprises qui excellent dans les CI automobiles seront au cœur de l’avenir de l’industrie automobile. La tendance des « véhicules définis par logiciel » – où de nouvelles fonctionnalités sont livrées via des mises à jour logicielles reposant sur des puces embarquées performantes – renforce encore le fait que le silicium est la nouvelle puissance. Comme le souligne un rapport, les revenus des semi-conducteurs automobiles devraient doubler au cours de la prochaine décennie infosys.com, techinsights.com, ce qui met en évidence l’opportunité.

Calcul hybride quantique-classique

Alors que les puces classiques en silicium continuent d’évoluer, l’informatique quantique émerge comme un paradigme radicalement différent – et, fait intéressant, l’intégration de l’informatique quantique et classique est une tendance de 2025. Parce que les processeurs quantiques (qubits) sont encore limités et sujets aux erreurs, la vision à court terme est celle de systèmes hybrides où un coprocesseur quantique fonctionne aux côtés d’ordinateurs classiques haute performance. Les principaux efforts industriels en 2025 reflètent cette convergence. Par exemple, NVIDIA a annoncé DGX Quantum, une plateforme qui couple étroitement l’un de ses GPU de pointe avec un contrôleur quantique de la startup Quantum Machines, permettant des algorithmes coordonnés quantique-classique quantum-machines.co. Ce type d’installation permet à un ordinateur quantique de déléguer des tâches à un GPU (et vice versa) de manière transparente pendant l’exécution d’un algorithme – crucial pour des domaines comme la recherche en IA quantique. De même, au Japon, Fujitsu et RIKEN ont dévoilé des plans pour un ordinateur quantique supraconducteur de 256 qubits intégré à une plateforme de supercalcul classique, visant à offrir des services hybrides quantiques où les CPU/GPU conventionnels traitent certaines parties d’un problème et la puce quantique s’occupe des éléments bénéficiant d’une accélération quantique fujitsu.com.

Les grands fournisseurs de cloud développent également le Quantum-as-a-Service avec des API hybrides – par exemple, Azure Quantum de Microsoft permet aux développeurs d’exécuter du code utilisant à la fois la puissance de calcul classique d’Azure et du matériel quantique (provenant de partenaires ou des propres dispositifs de recherche de Microsoft) dans un même flux de travail news.microsoft.com. Le matériel permettant cela inclut des circuits de contrôle spéciaux qui interfacent avec les qubits (fonctionnant souvent à des températures cryogéniques) et des liaisons à large bande passante entre les racks quantiques et les serveurs classiques. Même au niveau de la puce, les chercheurs étudient le co-emballage de composants classiques et quantiques. Par exemple, certains prototypes intègrent des réseaux de qubits sur le même substrat que les circuits CMOS qui contrôlent/lisent ces qubits – en somme, des « SoC quantiques » à un stade précoce.

Un autre angle est celui des entreprises utilisant des puces classiques pour simuler ou améliorer des algorithmes quantiques. La dernière feuille de route quantique d’IBM (IBM a déployé un dispositif de 127 qubits en 2021 et vise plus de 1 000 qubits en 2025) met l’accent sur l’amélioration de l’électronique classique pour la correction d’erreurs et le contrôle des qubits, comme des circuits intégrés sur mesure pouvant fonctionner à des températures cryogéniques. Et, fait intéressant, les algorithmes inspirés du quantique exécutés sur des superordinateurs classiques influencent également la conception des processeurs – par exemple, certaines puces HPC sont optimisées pour des tâches d’algèbre linéaire qui reflètent les simulations de circuits quantiques.

L’expression « circuits hybrides quantiques-classiques » capture ainsi une ère de transition : au lieu de considérer les ordinateurs quantiques comme totalement séparés, l’accent est désormais mis sur les systèmes intégrés. En 2025, l’informatique quantique réellement exploitable n’en est encore qu’à ses débuts, mais ces efforts hybrides posent les bases. À titre d’exemple de pollinisation croisée, la recherche de Microsoft sur les qubits topologiques a nécessité le développement d’une nouvelle puce cryogénique (Majorana 1) avec des matériaux exotiques comme l’arséniure d’indium et l’aluminium pour héberger des quasi-particules de Majorana news.microsoft.com – un rappel que l’avancée du matériel quantique repousse souvent les limites de la fabrication de puces et de la science des matériaux.

En résumé, l’informatique quantique ne remplace pas les puces classiques en 2025, mais les complète. L’industrie cherche comment exploiter les accélérateurs quantiques aux côtés des processeurs classiques pour certaines tâches (comme la simulation de molécules pour les médicaments ou les problèmes d’optimisation). Tous les grands acteurs technologiques – IBM, Google, Intel, Microsoft, Amazon, et des startups comme IonQ, Rigetti – poursuivent cette approche hybride. À mesure que le matériel quantique s’améliore lentement mais sûrement, l’intégration avec les circuits intégrés classiques ne fera que s’approfondir. On peut s’attendre à ce que les superordinateurs du futur disposent de modules « QPU » à côté des modules CPU/GPU, et à de nouveaux types de circuits intégrés capables de dialoguer avec les qubits. C’est une tendance naissante mais passionnante qui pourrait redéfinir l’informatique dans les années à venir.

Principaux acteurs, startups et dynamiques du marché en 2025

Les géants de l’industrie et leurs stratégies : Le paysage de l’industrie des circuits intégrés en 2025 est façonné par une poignée de grandes entreprises, chacune prenant des initiatives audacieuses :

  • Intel : Le vénérable géant du x86 est en plein redressement massif sous une nouvelle direction. Après plusieurs années de ratés dans la fabrication et même sa première perte annuelle depuis 1986 (une perte nette de 18,8 milliards de dollars en 2024) reuters.com, Intel a bouleversé sa stratégie. Le PDG de longue date Pat Gelsinger (nommé en 2021) a été remplacé en 2025 par Lip-Bu Tan, qui n’a pas perdu de temps pour réévaluer l’activité fonderie et la feuille de route des procédés d’Intel reuters.com. L’audacieux engagement d’Intel à réaliser « 5 nœuds en 4 ans » est mis à l’épreuve : ses nœuds Intel 7 et Intel 4 sont en production, Intel 3 est imminent, mais les plus critiques sont 20A et 18A (classe 2 nm) prévus pour 2024–25. Reuters a rapporté que le nouveau PDG envisageait de se concentrer sur le 14A (1,4 nm) et de réduire l’importance du 18A, même si cela implique de passer par pertes et profits des milliards en R&D, afin d’offrir un procédé plus compétitif à des clients externes comme Apple ou NVIDIA reuters.com. Intel sait que gagner de grands clients de fonderie est la clé de son avenir, surtout alors qu’il cherche à devenir un fabricant de puces sous contrat de premier plan en ouvrant ses fabs pour fabriquer les puces d’autres entreprises. À cette fin, un développement spectaculaire en 2025 a été une proposition de coentreprise Intel-TSMC : TSMC aurait proposé de prendre en charge l’exploitation des fabs d’Intel (avec une participation de TSMC pouvant aller jusqu’à 50 %) et d’inviter NVIDIA, AMD, Broadcom, Qualcomm et d’autres à investir dans la coentreprise reuters.com. Ce plan – apparemment encouragé par le gouvernement américain – vise à redresser la fabrication d’Intel en tirant parti de l’expertise de TSMC, sans céder la pleine propriété (Washington a insisté pour qu’Intel ne soit pas « entièrement détenu par des étrangers ») reuters.com. Une telle coentreprise aurait été impensable il y a quelques années, mais elle montre le nouveau pragmatisme d’Intel face à l’avance de TSMC dans les procédés. Côté produits, Intel mise fortement sur des domaines comme les GPU (via ses puces graphiques ARC et ses puces datacenter Ponte Vecchio) et les accélérateurs spécialisés (puces IA et réseau), tandis que son activité principale de CPU pour PC et serveurs résiste à AMD. L’adoption par Intel des chiplets et de l’intégration hétérogène (comme on le voit avec Meteor Lake et les futurs CPU Arrow Lake) est un autre changement stratégique. Grâce aux incitations gouvernementales (CHIPS Act), Intel construit également de nouvelles fabs dans l’Ohio, l’Arizona et l’Allemagne, dans le but de décrocher des commandes de fonderie. On sent que 2025–2026 sont des années « quitte ou double » pour qu’Intel retrouve le leadership technologique ou risque de prendre encore plus de retard – d’où l’urgence de ses partenariats et de sa restructuration.
  • TSMC : Taiwan Semiconductor Manufacturing Company reste le leader incontesté des fonderies pure-play, fabriquant des puces pour Apple, AMD, NVIDIA, Qualcomm et bien d’autres. L’expertise de TSMC à la pointe de la technologie (il a été le premier à produire en grande quantité en 7 nm, 5 nm, 3 nm) l’a rendu indispensable. En 2025, TSMC poursuit sa montée en puissance du 3 nm (N3) – qu’Apple a rapidement adopté pour sa puce A17 fin 2023 – et prépare le 2 nm (N2) pour une production à risque au second semestre 2025 en.wikipedia.org. Sa capacité à livrer régulièrement de nouveaux nœuds a fidélisé ses clients ; par exemple, les rendements de TSMC en 3 nm seraient proches de 80–90 %, bien supérieurs à ceux de son rival Samsung, ce qui lui a permis de remporter des marchés comme l’intégralité du volume 3 nm d’Apple ts2.tech. Le défi de TSMC est désormais l’expansion géographique et la capacité. Les préoccupations géopolitiques concernant Taïwan ont poussé TSMC à investir dans des usines à l’étranger : il construit une usine en Arizona (États-Unis) et une à Kumamoto (Japon). Le projet d’Arizona, prévu pour 2024–25, a connu des retards et des dépassements de coûts, mais TSMC a engagé 40 milliards de dollars supplémentaires pour y établir deux usines (processus N4 et, à terme, N3) avec le soutien appuyé des clients et du gouvernement américains. En 2025, des rapports ont même indiqué que TSMC porterait son investissement total aux États-Unis à 100 milliards de dollars pour construire trois nouvelles usines et deux sites de packaging avancé dans les années à venir pr.tsmc.comfinance. yahoo.com. De même, en Europe, TSMC était en discussion avec l’Allemagne pour une usine (probablement axée sur des nœuds automobiles). Ces expansions sont en partie financées par les gouvernements hôtes ; TSMC gardait historiquement la majorité de sa production à Taïwan pour des raisons d’efficacité, donc ce changement d’empreinte mondiale est significatif. Sur le plan technologique, TSMC se diversifie également – il propose des procédés spécialisés (comme N6RF pour les puces RF 5G, ou N5A pour l’automobile), et investit dans le packaging 3D avancé (ses techniques de superposition SoIC et WoW – wafer-on-wafer). La direction de TSMC a exprimé un optimisme prudent sur la poursuite de la loi de Moore grâce à des innovations comme les transistors GAA et peut-être la fabrication 3D, tout en avertissant que les coûts augmentent. Sur le plan financier, TSMC reste très solide, bien que son chiffre d’affaires ait légèrement baissé en 2023 en raison d’une correction des stocks mondiaux ; la croissance devrait reprendre en 2024–2025, portée par la demande HPC et automobile. En résumé, TSMC en 2025 est la pièce maîtresse de la chaîne d’approvisionnement mondiale des circuits intégrés, et ses décisions – qu’elles soient techniques (comme les feuilles de route des nœuds) ou stratégiques (comme une éventuelle coentreprise avec Intel ou des usines régionales) – ont des répercussions sur toute l’industrie.
  • Samsung Electronics : Samsung est l’autre acteur au niveau des fonderies de pointe (en plus d’être un des principaux fabricants de puces mémoire). Elle a pris de l’avance avec le 3 nm GAAFET en 2022, mais a rencontré des difficultés de rendement et de volume. En 2025, Samsung se concentre sur l’amélioration du rendement de son 3 nm (pour attirer de gros clients – elle a par exemple obtenu la puce mobile Tensor G5 de Google en 3 nm ts2.tech) et vise le 2 nm d’ici 2025–26 en.wikipedia.org. Cependant, les observateurs de l’industrie considèrent généralement que Samsung est un peu en retard sur TSMC en termes de préparation des procédés ts2.tech. Samsung se distingue également par son portefeuille de produits – elle conçoit ses propres processeurs mobiles (Exynos), capteurs d’image, etc., tout en fabriquant aussi pour d’autres. En 2025, la division logique de Samsung a bénéficié de commandes pour le calcul haute performance (comme la fabrication de certaines puces Nvidia, possiblement certaines variantes de GPU ou des accords de licence pour l’assemblage de puces). L’activité mémoire de Samsung (DRAM/NAND) a traversé une période difficile, mais devrait se redresser avec la demande en mémoire à large bande passante portée par l’IA (Samsung est un leader dans la HBM et la mémoire GDDR rapide utilisée dans les GPU). Une initiative majeure de Samsung est l’intégration 3D de la mémoire et de la logique – ils ont démontré l’empilement de la DRAM directement sur les CPU pour lever les goulets d’étranglement de la mémoire. De plus, Samsung continue d’investir dans la R&D sur de nouveaux matériaux, tels que MRAM et les transistors GAA pour aller au-delà du 2 nm, et explore même les matériaux 2D via des partenariats académiques. Commercialement, Samsung Foundry vise à élargir sa clientèle parmi les entreprises fabless ; c’est l’une des rares options pour les sociétés souhaitant accéder à des nœuds avancés en dehors de TSMC. Le gouvernement sud-coréen soutient également Samsung (et SK Hynix) dans une initiative nationale visant à rester une puissance des semi-conducteurs, avec ses propres programmes de formation et de R&D.
  • AMD : En 2025, AMD récolte les fruits de paris faits il y a des années. Elle s’est solidement imposée comme un concurrent majeur des CPU x86 face à Intel, détenant une part significative des marchés PC et serveurs avec ses familles Zen 4 et Zen 5, qui tirent parti des avantages de fabrication de TSMC et du leadership d’AMD en conception de chiplets. Les processeurs serveurs EPYC d’AMD (Genoa et au-delà) intègrent jusqu’à 128 cœurs, offrant un rapport performance/prix qui surpasse souvent les Xeon d’Intel, poussant les principaux fournisseurs de cloud et entreprises à les adopter. Côté GPU, le groupe Radeon d’AMD est derrière Nvidia dans l’IA, mais l’entreprise investit massivement pour changer cela. Sous la direction de la PDG Dr. Lisa Su, AMD a réalisé des acquisitions stratégiques – notamment Xilinx (FPGAs) en 2022 et Pensando (DPUs) – pour élargir son portefeuille dans l’informatique adaptative et le réseau. En 2025, ces investissements portent leurs fruits : AMD peut proposer des CPU, GPU, FPGA et SmartNICs, une gamme de silicium pour datacenters qui rivalise avec celle d’Intel ou Nvidia. Le grand pari d’AMD en 2025 concerne les accélérateurs IA : son MI300 APU combine CPU et GPU avec une mémoire HBM massive dans un seul package, ciblant le HPC et l’entraînement IA. Elle a enchaîné avec les annonces des GPU MI350 et MI400, revendiquant jusqu’à 35× d’amélioration des performances d’inférence IA par rapport à la génération précédente finance.yahoo.com. Bien que NVIDIA domine toujours l’esprit de l’IA, AMD mise sur une approche écosystème ouvert (par exemple en utilisant des logiciels open source comme ROCm et en annonçant que ses nouveaux systèmes basés sur MI300 utiliseront des standards de réseau ouverts au lieu du NVLink propriétaire reuters.com) pour se positionner comme une alternative crédible pour l’infrastructure IA dans le cloud. Les partenariats étroits d’AMD avec les principaux hyperscalers (comme ses annonces avec Microsoft pour des instances cloud IA, et avec des entreprises comme Meta et Oracle présentes à ses événements reuters.com) montrent qu’elle progresse. Sur le plan financier, AMD a connu une forte croissance entre 2022 et 2024 ; 2025 pourrait être plus stable sur les PC clients (en raison d’un marché PC faible), mais solide dans les datacenters et l’embarqué (Xilinx). Un défi sera d’assurer un approvisionnement suffisant auprès de TSMC pour répondre à ses besoins, alors que la demande mondiale en puces IA met sous tension la capacité des fonderies. AMD continue également de promouvoir les technologies chiplet et 3D die – elle prévoit des CPU hybrides (mêlant cœurs haute performance et efficacité, potentiellement avec des chiplets de différents nœuds) et un usage accru du cache empilé en 3D voire de la logique. Globalement, AMD en 2025 est une entreprise transformée par rapport à il y a dix ans, considérée comme un leader de l’innovation dans les CPU et un acteur sérieux dans le secteur plus large des semi-conducteurs.NVIDIA : L’ascension de NVIDIA a été l’un des récits marquants de l’industrie, et en 2025, elle a atteint le statut rare d’entreprise valorisée à mille milliards de dollars grâce à l’essor de l’IA. Le géant des GPU « fabless » domine pratiquement le marché des accélérateurs d’IA – ses GPU A100 et H100 pour datacenters sont devenus les piliers des laboratoires d’IA dans le monde entier (au point que les restrictions américaines à l’exportation vers la Chine visaient spécifiquement ces puces). En 2025, la demande pour le matériel IA de NVIDIA est si forte que les opérateurs de datacenters peinent à s’approvisionner ; le chiffre d’affaires de NVIDIA dans les datacenters atteint des niveaux records, et le cours de son action a triplé (~3×) en 2023–24. Le PDG Jensen Huang a exposé une vision selon laquelle l’informatique classique centrée sur le CPU cède la place à l’« informatique accélérée », où les GPU et accélérateurs spécialisés effectuent le travail lourd, notamment pour l’IA. Côté produits, les GPU L40S et H100 de NVIDIA (basés sur ses procédés 4N et 5N chez TSMC) sont livrés en volume, et la société prépare sa prochaine génération de GPU à architecture « Blackwell » probablement pour 2025–26, qui promet un nouveau bond de performance. NVIDIA étend aussi sa stratégie de plateforme : elle propose non seulement des puces mais aussi des systèmes complets comme les serveurs DGX H100, et même des superordinateurs d’IA (comme l’offre DGX Cloud de NVIDIA). De plus, NVIDIA a commencé à licencier sa propriété intellectuelle GPU dans certains cas et a ouvert certaines parties de sa pile logicielle – par exemple, elle a indiqué qu’elle pourrait permettre à d’autres d’intégrer son interconnexion NVLink, alors que la pression des standards ouverts s’intensifie reuters.com. Peut-être le mouvement stratégique le plus marquant : NVIDIA a annoncé son intention de fabriquer certaines puces aux États-Unis pour la première fois. Elle investira potentiellement des centaines de milliards dans les années à venir pour s’associer à TSMC, Foxconn et d’autres afin de construire des installations de production et d’assemblage avancées en Arizona et ailleurs manufacturingdive.com. Huang a déclaré que « Les moteurs de l’infrastructure IA mondiale sont construits aux États-Unis pour la première fois », soulignant à quel point la production nationale est cruciale pour répondre à la demande croissante de puces IA et renforcer la résilience de la chaîne d’approvisionnement manufacturingdive.com. Cela s’aligne avec les objectifs politiques américains (et intervient alors que le gouvernement américain encourage la fabrication nationale via des tarifs et des subventions). Dans l’automobile, la plateforme Drive de NVIDIA a remporté une adoption significative, et dans le cloud gaming et les graphismes professionnels, NVIDIA reste leader. Un domaine dans lequel NVIDIA s’est lancé est celui des CPU – son CPU Grace (basé sur Arm) est prêt à accompagner ses GPU dans les systèmes HPC, ce qui laisse entrevoir une concurrence potentielle avec les fournisseurs traditionnels de CPU sur certains marchés. En résumé, NVIDIA en 2025 est d’une influence immense : elle façonne l’avenir de l’informatique IA, en co-concevant matériel et logiciel. Cependant, elle fait aussi face à des défis : une possible concurrence des startups de puces IA et d’autres géants, ainsi que des risques géopolitiques (contrôles à l’exportation vers la Chine, qui représentait 20–25 % de son marché pour les GPU de datacenters). Pour l’instant, cependant, la position de NVIDIA semble solide, Huang affirmant avec audace qu’en innovant « sur toute la pile » (silicium, systèmes, logiciels), NVIDIA peut continuer à dépasser les normes de l’industrie techcrunch.com.
  • Qualcomm : Le roi des puces pour smartphones s’adapte à un marché en diversification. Les SoC Snapdragon de Qualcomm alimentent encore une grande part des téléphones et tablettes Android, offrant un mélange de CPU haute performance (cœurs Arm), GPU Adreno, DSP IA, modem 5G, ISP, etc., sur une seule puce. En 2025, la dernière série Snapdragon 8 Gen de Qualcomm (gravée en 4 nm chez TSMC) met l’accent sur l’IA embarquée, l’entreprise ayant démontré l’exécution de grands modèles de langage sur un téléphone. Cependant, les volumes de smartphones dans le monde sont arrivés à maturité, donc Qualcomm s’est fortement diversifié dans l’automobile et l’IoT. Son activité automobile (Snapdragon Digital Chassis) dispose d’un carnet de commandes de plusieurs milliards, fournissant des puces de connectivité, d’infodivertissement et d’ADAS aux constructeurs automobiles. Par exemple, Qualcomm a remporté des contrats pour fournir des systèmes à GM et BMW, et ses revenus automobiles croissent rapidement. Dans les segments IoT et wearables, Qualcomm développe des variantes de ses puces pour les casques AR/VR, montres connectées et applications industrielles IoT. Un moment clé a été l’acquisition en 2021 par Qualcomm de Nuvia, une startup spécialisée dans les conceptions avancées de cœurs CPU Arm – d’ici 2025, Qualcomm devrait lancer des cœurs CPU personnalisés Oryon (basés sur la technologie Nuvia) pour améliorer les performances des ordinateurs portables et concurrencer l’efficacité des puces M d’Apple. Si cela réussit, Qualcomm pourrait réintégrer le marché des ordinateurs portables/PC en 2024–2025 avec des puces Arm compétitives pour les PC Windows, se taillant potentiellement une niche dans un secteur dominé par Intel/AMD. Un autre axe est RISC-V : Qualcomm expérimente des microcontrôleurs RISC-V (par exemple, dans les puces Bluetooth) pour réduire sa dépendance à Arm pour certaines PI. En tant que principal concepteur de circuits intégrés fabless (en chiffre d’affaires, Qualcomm a été classé n°1 parmi les entreprises fabless mondiales semimedia.cc), les manœuvres stratégiques de Qualcomm sont scrutées de près. En 2025, Qualcomm navigue entre des litiges sur les licences de brevets (par exemple, des batailles juridiques en cours avec Arm concernant la technologie de Nuvia) et une concurrence accrue dans les SoC Android (MediaTek, Tensor de Google, etc.), mais son large portefeuille et son leadership dans le sans-fil (5G Advanced et préparation de la 6G) le maintiennent à l’avant-garde. Sur le plan financier, Qualcomm a connu une année 2021 exceptionnelle grâce à la demande de smartphones 5G, puis a connu un ralentissement en 2023 ; 2025 devrait se stabiliser à mesure que les stocks de smartphones se normalisent et que la croissance dans l’automobile/IoT s’accélère. En résumé, Qualcomm s’appuie sur son ADN du sans-fil et son expertise SoC pour rester une force dominante, tout en cherchant de nouveaux moteurs de croissance au-delà du marché du smartphone en plateau.
  • Apple : Bien qu’Apple ne soit pas une entreprise de semi-conducteurs traditionnelle, son impact sur le monde des circuits intégrés est énorme. C’est le plus grand client de TSMC et la société a établi de nouveaux standards pour ce que le silicium personnalisé peut accomplir dans les appareils grand public. La décision d’Apple de concevoir ses propres puces M1/M2 pour les Mac (en 5 nm et 5 nm+) a été justifiée par des performances par watt impressionnantes, et d’ici 2025, Apple sera probablement sur la M3 (3 nm) pour les Mac et la A18 (3 nm ou 2 nm) pour les iPhone. La stratégie d’Apple d’intégration poussée – concevoir en interne des puces parfaitement adaptées à son logiciel – aboutit à des CPU, des processeurs graphiques et des accélérateurs IA en tête des benchmarks sur téléphones et PC. Cela met la pression sur des concurrents comme Intel, AMD et Qualcomm (en fait, le succès d’Apple a poussé Qualcomm à acquérir Nuvia pour renforcer ses cœurs Arm pour PC). Apple conçoit aussi ses propres puces annexes : processeurs d’image personnalisés, Neural Engine, puces de connectivité (elle travaille sur son propre modem 5G, même si ce projet a connu des retards). En 2025, la rumeur veut qu’Apple prépare des puces modem cellulaire maison pour remplacer à terme celles de Qualcomm dans les iPhone – une démarche difficile mais qui changerait la donne si elle réussit. De plus, l’incursion d’Apple dans la réalité augmentée (avec son casque Vision Pro) repose sur des puces personnalisées comme la M2 et une nouvelle puce R1 de fusion de capteurs. Ces initiatives d’Apple illustrent une tendance plus large : les entreprises de systèmes qui se verticalisent dans la conception de puces pour différencier leurs produits. L’échelle et les ressources d’Apple la rendent particulièrement efficace dans ce domaine, mais d’autres comme Tesla (puces FSD pour voitures) et Amazon (CPU serveur Graviton) suivent le même modèle dans leurs secteurs. D’un point de vue dynamique de marché, les achats massifs de semi-conducteurs d’Apple (des dizaines de milliards par an) et son utilisation exclusive des capacités de pointe (elle obtient souvent la priorité sur le nouveau nœud de TSMC pour les puces iPhone) influencent l’offre et la demande de toute l’industrie. Par exemple, l’adoption par Apple du 3 nm de TSMC en 2023–2024 a laissé peu de capacité initiale pour les autres, influençant leurs calendriers de produits. Ainsi, même si Apple ne vend pas ses puces à l’extérieur, c’est un acteur clé des tendances des semi-conducteurs – que ce soit en stimulant l’innovation dans le packaging (par exemple, la M1 Ultra utilise un interposeur en silicium pour relier deux dies M1 Max, illustrant un packaging avancé) ou simplement en élevant les attentes des consommateurs en matière de performance. En 2025, Apple devrait poursuivre sa série d’améliorations annuelles de ses puces et pourrait surprendre avec de nouvelles catégories (peut-être plus d’objets connectés ou d’appareils AR) – le tout propulsé par son moteur de conception de silicium dirigé par son équipe de puces renommée (dont beaucoup sont d’anciens de PA-Semi et d’autres vétérans de l’industrie).

Activité des startups et nouveaux entrants : L’innovation dynamique dans les semi-conducteurs ne se limite pas aux acteurs historiques. Ces dernières années, des milliards de capital-risque ont afflué vers les startups de semi-conducteurs – une renaissance souvent appelée le « boom des startups de la puce » (après un long creux dans les années 2000). D’ici 2025, certaines de ces startups obtiennent des résultats, tandis que d’autres se heurtent aux dures réalités de la concurrence dans une industrie à forte intensité capitalistique. Quelques domaines notables d’activité des startups :

  • Accélérateurs d’IA : C’est le secteur le plus en vogue pour les startups. Des entreprises comme Graphcore (Royaume-Uni), SambaNova (États-Unis), Cerebras (États-Unis), Mythic (États-Unis, calcul analogique), Horizon Robotics (Chine), Biren Technology (Chine), et bien d’autres sont apparues pour créer des puces adaptées aux charges de travail de l’IA. Chacune propose une approche architecturale unique – Graphcore avec son IPU à plusieurs cœurs et sa mémoire embarquée massive, Cerebras avec sa puce de la taille d’une tranche de silicium (850 000 cœurs) pour entraîner de grands réseaux en une seule fois, Mythic avec le calcul analogique en mémoire, etc. En 2025, certaines ont trouvé leur créneau (Cerebras, par exemple, est utilisée dans certains laboratoires de recherche et sa technologie a même été adoptée par des coentreprises au Moyen-Orient), mais la domination de NVIDIA reste un obstacle majeur. Néanmoins, de nouvelles startups continuent d’émerger, ciblant souvent des niches spécifiques de l’IA comme l’IA en périphérie, l’IA basse consommation ou l’IA axée sur la confidentialité. Un nouvel acteur intéressant en 2025 est Tenstorrent (dirigé par le légendaire architecte de puces Jim Keller), qui conçoit des puces hybrides IA/CPU basées sur RISC-V – il est représentatif de la pollinisation croisée, car il a des partenariats avec des entreprises établies (par exemple, Samsung fabriquera certains de ses designs).
  • RISC-V et matériel ouvert : L’essor de l’ISA RISC-V a stimulé de nombreuses startups développant des processeurs et microcontrôleurs basés sur RISC-V. Des entreprises comme SiFive (fondée par les inventeurs de RISC-V) proposent des IP de conception et des cœurs personnalisés – en 2025, l’IP de SiFive est utilisée dans des puces automobiles, des contrôleurs IoT et même le processeur spatial de nouvelle génération de la NASA. En Chine, les startups RISC-V se sont multipliées (par exemple StarFive, T-Head d’Alibaba, Nuclei, etc.) alors que le pays cherche des alternatives nationales aux CPU face aux sanctions eetimes.com. L’Europe a également vu émerger des projets RISC-V, en partie soutenus par des initiatives gouvernementales pour la souveraineté technologique eetimes.com. Certaines startups se concentrent sur des CPU serveurs RISC-V haute performance (comme Ventana et Esperanto aux États-Unis) visant à concurrencer Arm et x86 dans les centres de données. Bien qu’encore au début, quelques puces RISC-V ont été produites sur des nœuds avancés, montrant un potentiel en termes de performance. Le mouvement du matériel open source va au-delà des CPU – certaines startups développent des conceptions de GPU open source, des accélérateurs IA ouverts, etc., même si elles se heurtent à la question de la monétisation. En 2025, RISC-V International compte des milliers de membres (plus de 4 600 en 2025) csis.org et l’écosystème arrive à maturité avec un meilleur support logiciel (distributions Linux, Android sur RISC-V, etc.) eetimes.comeetimes.com. Les startups de ce secteur surfent souvent sur une vague d’innovation et de vents géopolitiques favorables, alors que plusieurs pays financent RISC-V pour réduire leur dépendance à la propriété intellectuelle étrangère.
  • Calcul analogique et photonique : En dehors du paradigme numérique, quelques startups explorent le calcul analogique ou optique pour des gains spécialisés. Mythic, mentionnée précédemment, a tenté l’inférence IA basée sur la mémoire flash analogique (bien qu’elle ait rencontré des difficultés financières en 2023). Lightmatter et LightOn sont des startups qui intègrent la photonique sur puce pour accélérer l’IA avec des calculs à la vitesse de la lumière – d’ici 2025, Lightmatter dispose d’un accélérateur optique opérationnel utilisé dans certains laboratoires. Ce sont des paris à haut risque et à forte récompense qui ne sont pas encore devenus grand public, mais illustrent la créativité de l’écosystème startup qui s’attaque à la fin de la loi de Moore par des moyens non traditionnels. De même, les startups du calcul quantique (comme Rigetti, IonQ, D-Wave pour le recuit quantique, etc.) peuvent être considérées comme faisant partie de l’écosystème élargi des startups de semi-conducteurs, bien que leurs dispositifs fonctionnent très différemment des CI classiques.
  • Innovateurs en chiplets et IP : Certaines nouvelles entreprises se concentrent sur l’infrastructure autour des chiplets et de l’encapsulation avancée. Par exemple, Astera Labs (récemment une startup à succès) fabrique des solutions de connectivité PCIe/CXL de type chiplet qui aident à connecter les processeurs aux accélérateurs et à la mémoire – ce type de « puces de liaison » devient de plus en plus important. Des startups comme SiFive (déjà mentionnée) ou les spin-offs d’Arm agissent aussi comme fournisseurs d’IP, ce qui est crucial dans un monde de chiplets (en vendant des conceptions de cœurs que d’autres peuvent intégrer). Il existe des initiatives comme le consortium Universal Chiplet Interconnect Express (UCIe) qui attire la participation de startups pour développer l’écosystème des interfaces standardisées die-to-die.

Globalement, la scène startup des semi-conducteurs est dynamique en 2025, soutenue à la fois par le capital-risque et des subventions gouvernementales dans certaines régions. Beaucoup de ces startups sont fondées par des vétérans de l’industrie – en effet, une tendance a été « l’exode d’Intel » qui a alimenté la création de startups. Alors qu’Intel et d’autres se restructuraient, des ingénieurs expérimentés sont partis pour fonder ou rejoindre des startups, ce qu’un article d’EE Times a qualifié de « le bon côté d’un exode » – injectant des talents dans de nouvelles entreprises eetimes.com. Bien sûr, toutes ne survivront pas ; le coût de la fabrication et la domination des acteurs historiques sur certains marchés (comme l’IA) rendent la tâche difficile. Mais même lorsque les startups ne détrônent pas les grands acteurs, elles impulsent souvent de nouvelles idées qui sont adoptées. Par exemple, le concept de chiplet a été initié par de petites entreprises il y a des décennies ; il est désormais devenu un standard industriel. De même, RISC-V est passé d’un projet académique à une force commerciale principalement grâce à l’énergie des startups et à l’effort communautaire.

D’un point de vue dynamique de marché, un autre thème clé est la consolidation vs. spécialisation. Nous avons vu des méga-fusions en 2020–2022 (NVIDIA a tenté d’acheter Arm ; AMD a acheté Xilinx ; Intel a acheté Tower ; etc.). En 2025, les régulateurs surveillent de plus près les grandes fusions, en particulier celles ayant un impact géopolitique (l’accord Arm-NVIDIA a été bloqué en 2022). L’industrie compte toujours quelques géants dominants mais aussi une longue traîne florissante de sociétés spécialisées. L’équilibre des pouvoirs est influencé par l’accès à la fabrication (l’espace en fonderie est une ressource limitée) et l’accès aux clients (l’enfermement dans l’écosystème, le support logiciel sont cruciaux – par exemple, CUDA pour NVIDIA, la compatibilité x86 pour Intel/AMD, etc.).

On ne peut pas non plus ignorer le segment mémoire dans la dynamique du marché : des entreprises comme Samsung, SK Hynix, Micron – les grands fabricants de mémoire – ont traversé une phase de ralentissement cyclique mais se préparent désormais à une nouvelle demande (l’IA est très gourmande en mémoire). En 2025, Micron commence à échantillonner de la DRAM fabriquée avec High-NA EUV pour la prochaine génération de DDR5 et GDDR7, et SK Hynix est en tête sur la mémoire HBM3 pour les accélérateurs IA. Il y a aussi de l’enthousiasme autour des mémoires non-volatiles émergentes (comme MRAM, ReRAM) qui trouvent enfin des niches dans l’IoT ou comme mémoire embarquée dans les SoC.

Tous ces facteurs contribuent à une structure industrielle dynamique en 2025 : d’énormes opportunités stimulent la croissance, mais aussi une concurrence intense et des complexités géopolitiques, que nous abordons ensuite.

Forces géopolitiques et réglementaires façonnant l’industrie des CI

Le secteur des circuits intégrés en 2025 n’existe pas en vase clos – il est profondément lié à la politique mondiale, aux préoccupations de sécurité nationale et à la politique commerciale internationale. En fait, les semi-conducteurs sont devenus un enjeu central dans les tensions technologiques entre les États-Unis et la Chine et un axe majeur des politiques industrielles mondiales. Principales évolutions sur ce front :

  • Contrôles à l’exportation et restrictions technologiques : À partir de 2022 et se renforçant entre 2023 et 2025, les États-Unis (rejoints par des alliés comme les Pays-Bas et le Japon) ont imposé de vastes contrôles à l’exportation sur les semi-conducteurs avancés et les équipements vers la Chine. Ces règles interdisent aux entreprises de vendre à la Chine leurs puces IA haut de gamme (par exemple, les A100/H100 de NVIDIA, sauf versions bridées à moindre performance) et prohibent l’exportation de machines de lithographie EUV et d’autres outils de fabrication de pointe. En 2025, l’administration américaine a encore élargi les restrictions pour couvrir davantage de puces IA et même certains logiciels de conception de puces, invoquant la sécurité nationale csis.org, sidley.com. Ces mesures visent à ralentir les progrès de la Chine dans les technologies informatiques les plus avancées (notamment les puces pouvant être utilisées à des fins militaires ou de surveillance par l’IA). La Chine a protesté et pris des contre-mesures : par exemple, elle a lancé en 2023 une enquête de cybersécurité sur Micron (un grand fabricant américain de mémoire) et a finalement interdit certains produits Micron dans les infrastructures critiques – largement perçu comme des représailles. La Chine a également commencé à enquêter sur NVIDIA et d’autres entreprises américaines en 2025, signalant qu’elle pourrait utiliser son immense marché comme monnaie d’échange eetimes.com. De plus, la Chine a imposé en 2023 des contrôles à l’exportation sur des matières premières comme le gallium et le germanium (utilisés dans la fabrication de puces et l’optique) en réponse aux actions occidentales, illustrant l’interconnexion des chaînes d’approvisionnement.
  • L’effort d’autosuffisance technologique de la Chine : Coupée des puces de pointe, la Chine a redoublé d’efforts pour construire son propre écosystème de semi-conducteurs. Cela inclut d’importants investissements publics (la phase III du « Big Fund » lancée avec des milliards pour les entreprises locales de puces), des subventions pour la construction de fonderies, et un soutien aux technologies ouvertes comme RISC-V pour remplacer la propriété intellectuelle étrangère. Comme mentionné, la Chine adopte explicitement RISC-V « pour atteindre l’autosuffisance technologique et réduire la dépendance aux ISAs contrôlées par l’Occident dans un contexte de tensions géopolitiques » eetimes.com. Des fabricants chinois de puces comme SMIC auraient également réussi à produire un nœud d’environ 7 nm en utilisant d’anciens outils DUV (comme on l’a vu dans le démontage d’une puce de mineur Bitcoin MinerVA en 2022), bien que de façon limitée. D’ici 2025, SMIC pourrait même tenter des procédés de classe 5 nm sans EUV – probablement avec de faibles rendements. Le gouvernement chinois a fixé des objectifs ambitieux (comme 70 % d’autosuffisance en semi-conducteurs d’ici 2025, objectif qui ne sera pas atteint, mais des progrès sont réalisés sur les nœuds matures). Huawei, le fleuron technologique chinois, qui a été coupé de TSMC en 2020, a surpris les observateurs en 2023 en lançant un smartphone (Mate 60 Pro) avec un SoC Kirin 9000s en 7 nm fabriqué par SMIC – signe que la Chine trouvera des moyens de faire avec ce qu’elle a, même si ce n’est pas à grande échelle ou à la pointe de la technologie. Il y a aussi un aspect lié aux talents : la Chine a rapatrié de nombreux ingénieurs formés à l’étranger et aurait même eu recours au vol de propriété intellectuelle pour accélérer sa courbe d’apprentissage. Géopolitiquement, il s’agit d’une course à enjeux élevés – semblable à une « course aux armements des puces », où les États-Unis tentent de maintenir une avance de 2 à 3 générations et la Chine essaie de rattraper son retard ou de trouver des voies technologiques alternatives.
  • Chips Acts et relocalisation : Les États-Unis ont adopté le CHIPS and Science Act en 2022, allouant 52 milliards de dollars pour subventionner la R&D et la fabrication nationales de semi-conducteurs. D’ici 2025, cela porte ses fruits sous la forme de plusieurs nouveaux projets de fabrication : les usines d’Intel dans l’Ohio (deux en construction), l’usine de TSMC en Arizona (bien que retardée jusqu’environ 2025–26 pour la production), l’expansion de Samsung au Texas, ainsi que GlobalFoundries et d’autres qui augmentent leur capacité. Le CHIPS Act est d’ailleurs considéré par le PDG d’Intel comme « la législation de politique industrielle américaine la plus significative depuis la Seconde Guerre mondiale » mitsloan.mit.edu. Pat Gelsinger a souligné la logique stratégique : « La géopolitique a été définie par le pétrole au cours des 50 dernières années… Les chaînes d’approvisionnement technologiques sont plus importantes pour un avenir numérique que le pétrole pour les 50 prochaines années. » mitsloan.mit.edu. En d’autres termes, sécuriser la production de puces au niveau national (ou dans des pays alliés) est désormais considéré comme vital pour la sécurité économique et nationale. De même, l’Europe a lancé le EU Chips Act (programme de 43 milliards d’euros) pour doubler sa part de la production mondiale de puces d’ici 2030 et soutenir de nouvelles usines (comme le méga-site prévu d’Intel à Magdebourg, en Allemagne, et STMicro/GlobalFoundries en France). En 2025, Intel avait négocié une augmentation des subventions de l’Allemagne (environ 10 milliards d’euros) pour poursuivre son usine, illustrant à quel point les nations sont compétitives pour attirer ces investissements de haute technologie. Le Japon a mis en place son consortium Rapidus (avec des entreprises comme Sony, Toyota, et un investissement du gouvernement) pour développer une usine 2 nm d’ici 2027 avec l’aide d’IBM – une tentative audacieuse de relancer la fabrication de logique avancée au Japon. La Corée du Sud, pour ne pas être en reste, a annoncé ses propres incitations pour investir 450 milliards de dollars sur une décennie afin de rester une puissance des semi-conducteurs (principalement via Samsung et SK Hynix). En Inde, le gouvernement a proposé 10 milliards de dollars pour des projets de fabrication de puces afin de créer une usine indienne (bien que les tentatives avec des partenaires mondiaux aient jusqu’à présent rencontré des revers). Cette effervescence d’activités soutenues par l’État marque un changement significatif : après des décennies de mondialisation et de concentration des usines en Asie de l’Est, la production se diversifie géographiquement – lentement, mais de façon notable – et les gouvernements orchestrent activement la croissance de la base industrielle des semi-conducteurs.
  • Alliances commerciales et « friendshoring » : Les tensions géopolitiques ont également conduit à de nouvelles alliances axées sur les semi-conducteurs. Les États-Unis, le Japon, la Corée du Sud, Taïwan (officiellement non), et l’Europe se coordonnent sur les contrôles à l’exportation ainsi que sur la sécurité des chaînes d’approvisionnement. Les Pays-Bas (où se trouve ASML) et le Japon (où se trouvent Nikon, Tokyo Electron, etc.) ont accepté début 2023 d’aligner leurs restrictions à l’exportation d’équipements de fabrication de puces sur celles des États-Unis à l’égard de la Chine, coupant ainsi la Chine des technologies de lithographie les plus avancées. Il est également question d’une alliance « Chip 4 » (États-Unis, Taïwan, Japon, Corée du Sud) pour collaborer sur la résilience de la chaîne d’approvisionnement. Friendshoring est le terme utilisé pour désigner le transfert de la production vers des pays alliés – on voit TSMC et Samsung investir aux États-Unis (un allié), et potentiellement en Europe, tandis que les entreprises américaines sans usine cherchent à diversifier leur production pour ne pas dépendre d’une seule région. Cependant, la situation est complexe : Taïwan reste la pièce maîtresse (plus de 90 % des puces de pointe sont fabriquées par TSMC à Taïwan). Le monde est bien conscient que tout conflit impliquant Taïwan bouleverserait l’économie technologique mondiale. Ce risque est en réalité l’un des principaux moteurs qui poussent les entreprises à accepter de payer plus cher pour une production locale, comme une forme d’assurance. Par exemple, Apple s’est engagée à acheter des puces à l’usine de TSMC en Arizona (même si, au départ, elle sera probablement un cran en dessous des usines taïwanaises en termes de technologie) dans une logique de diversification stratégique. De même, la présence de TSMC en Arizona et au Japon répond en partie à la demande de clients/gouvernements clés pour disposer d’une production sur un territoire plus sûr.
  • Sécurité nationale et régulations : Les pays ont également renforcé le contrôle des investissements et de la propriété intellectuelle liés aux puces. Les États-Unis ont envisagé des restrictions concernant les ressortissants américains travaillant pour des entreprises chinoises de semi-conducteurs, et limité l’accès des entreprises chinoises aux logiciels EDA et aux outils de conception de puces, dominés par des sociétés américaines (Cadence, Synopsys). À l’inverse, la Chine augmente son soutien à ses programmes de fusion militaire-civile pour utiliser la technologie commerciale à des fins de défense. En 2025, la politique de contrôle des exportations continue d’évoluer : par exemple, le département du Commerce américain a introduit des règles contrôlant même l’exportation des poids de modèles d’IA avancés vers certains pays clearytradewatch.com, sidley.com – une indication de la façon dont l’IA et les puces sont liées dans la réflexion politique. La surveillance réglementaire est également très forte sur les grandes fusions (comme mentionné) et sur les pratiques de la chaîne d’approvisionnement – les gouvernements exigent de la transparence pour éviter des pénuries soudaines de puces critiques (comme celles utilisées dans la santé, les infrastructures, etc.).
  • Impact sur les entreprises : Les entreprises américaines de semi-conducteurs (NVIDIA, AMD, Lam Research, Applied Materials, etc.) ont dû ajuster leurs prévisions de revenus en raison de la perte de certains marchés chinois suite aux interdictions d’exportation. Certaines réagissent en créant des versions à spécifications réduites pour la Chine (par exemple, les puces A800 et H800 de NVIDIA remplacent les A100/H100 pour le marché chinois, avec une interconnexion limitée pour rester sous le seuil de performance). Les entreprises chinoises comme Huawei et Alibaba s’empressent de contourner les restrictions (par exemple, en utilisant des architectures chiplet avec plusieurs puces d’entrée de gamme pour atteindre des performances élevées, ou en se concentrant sur l’optimisation logicielle pour faire plus avec moins). Pendant ce temps, les entreprises taïwanaises et coréennes se retrouvent dans une position délicate, essayant de se conformer aux exigences de leurs alliés sans pour autant s’aliéner complètement le vaste marché chinois. En Europe, les constructeurs automobiles et d’autres secteurs soutiennent activement les initiatives locales dans les semi-conducteurs, ayant constaté leur dépendance à l’Asie pour les puces.

En somme, l’industrie des circuits intégrés en 2025 est autant une question de géopolitique que de technologie. L’expression « guerre des puces » est désormais courante, reflétant le fait que le leadership dans les semi-conducteurs est devenu un enjeu majeur pour les nations. Les prochaines années révéleront l’efficacité de ces politiques : assisterons-nous à une bifurcation des écosystèmes technologiques (l’un mené par l’Occident, l’autre par la Chine) avec des standards incompatibles et des chaînes d’approvisionnement séparées ? Ou la coopération mondiale persistera-t-elle malgré les tensions ? Jusqu’à présent, la tendance est à un découplage partiel – la Chine investissant massivement dans l’autosuffisance, l’Occident limitant l’accès de la Chine à la pointe de la technologie, et toutes les parties investissant lourdement pour ne pas être distancées. La seule certitude est que les puces sont désormais reconnues comme « actifs stratégiques ». Comme l’a dit Pat Gelsinger, « Le monde entier dépend de façon extraordinaire d’une toute petite zone de la planète… Ce n’est pas bon pour la résilience de nos chaînes d’approvisionnement. » mitsloan.mit.edu D’où la série d’actions visant à rééquilibrer cette dépendance.

Conclusion et perspectives

En résumé, 2025 est une année charnière pour les circuits intégrés, marquée par des avancées technologiques remarquables et une importance stratégique accrue. Sur le plan technologique, nous assistons à une réinvention de la loi de Moore – grâce aux chiplets, à l’empilement 3D, à de nouveaux designs de transistors et à des architectures spécifiques à chaque domaine qui permettent des bonds en avant dans l’IA et la puissance de calcul. Les puces sont plus rapides et plus spécialisées que jamais, permettant des avancées de l’IA générative aux véhicules autonomes. Parallèlement, l’industrie des semi-conducteurs est devenue un point focal de compétition et de collaboration mondiales. Les gouvernements investissent dans les puces comme jamais auparavant, reconnaissant que le leadership dans les semi-conducteurs sous-tend la puissance économique et militaire dans le monde moderne. Cela a catalysé de nouveaux partenariats (et rivalités) et redéfinit où et comment les puces sont fabriquées.

Pour le grand public, les implications de ces évolutions sont profondes : des CI plus puissants et efficaces signifient de meilleurs appareils grand public, des infrastructures plus intelligentes, et de nouvelles possibilités (comme les assistants IA ou des voitures autonomes plus sûres) qui deviennent réalité. Mais nous entrons aussi dans une ère où les puces font la une des journaux – qu’il s’agisse de pénuries affectant les prix des voitures ou de nations rivalisant pour les capacités en silicium. L’expression « Le silicium est le nouveau pétrole » sonne juste mitsloan.mit.edu, illustrant à quel point ces minuscules composants sont devenus essentiels à tous les aspects de la vie et de la géopolitique.

En regardant vers l’avenir, la trajectoire indique une innovation continue. Le reste des années 2020 apportera probablement des processus de classe 1 nm (vers 2027–2028) en.wikipedia.org, peut-être les premiers accélérateurs quantiques commerciaux intégrés dans les centres de données, et une adoption généralisée de l’IA dans les appareils en périphérie grâce aux CI avancés. Nous pourrions aussi voir les fruits des recherches actuelles sur de nouveaux matériaux et paradigmes informatiques commencer à se concrétiser dans des produits. D’ici 2030, l’industrie aspire à atteindre ce seuil de 1 000 milliards de dollars de chiffre d’affaires annuel deloitte.com, porté par la demande en IA, automobile, IoT, et au-delà. Si 2025 en est un indicateur, la course vers cet objectif sera jalonnée à la fois de percées technologiques éblouissantes et de manœuvres stratégiques complexes.

Une chose est certaine : les circuits intégrés restent le cœur de la révolution numérique, et l’enthousiasme – et la dépendance – du monde à leur égard n’a jamais été aussi grand. Chaque nouvelle puce ou procédé n’est pas seulement un exploit d’ingénierie ; c’est un élément fondateur des innovations futures et une étape dans une course mondiale. En concluant cette synthèse, il est clair que l’industrie des CI en 2025 est plus dynamique que jamais, véritablement à la croisée des sciences, des affaires et de la géopolitique – une révolution du silicium qui transforme notre monde à tous les niveaux.

Sources :

semimedia.cc, deloitte.com, techcrunch.com, techcrunch.com, reuters.com, reuters.com, reuters.com, reuters.com, mitsloan.mit.edu, mitsloan.mit.edu, ts2.tech, ts2.tech, community.cadence.com, community.cadence.com, microchipusa.com, eetimes.com

AI, Chiplets, and the Future of Semiconductors

Don't Miss