מהפכת הסיליקון 2025: סופר-שבבים בינה מלאכותית, פריצות דרך בצ'יפלטים ובום עולמי בשבבים

ספטמבר 5, 2025
Silicon Revolution 2025: AI Superchips, Chiplet Breakthroughs, and a Global IC Boom
Superchips, Chiplet Breakthroughs, and a Global IC Boom
  • מכירות השבבים העולמיות באפריל 2025 הגיעו ל-57 מיליארד דולר, עלייה של 22.7% משנה לשנה.
  • אנליסטים צופים כי הכנסות תעשיית השבבים ב-2025 יעמדו על כ-700 מיליארד דולר, עם מסלול ל-1 טריליון דולר עד 2030.
  • אפל השיקה מערכות על שבב בייצור 3 ננומטר, ה-A17 Bionic באייפונים וה-M3 במחשבי מק.
  • מעבדי Panther Lake של אינטל, הצפויים בסוף 2025, ייוצרו בתהליך 18A (כ-1.8 ננומטר) ומתוארים כמעבדים המתקדמים ביותר שתוכננו אי פעם בארצות הברית.
  • AMD השיקה מאיצי בינה מלאכותית MI300/MI350, כולל מערכת Helios מוכנה לשימוש עם 72 יחידות GPU מדגם MI400.
  • NVIDIA מתכננת לייצר שבבי בינה מלאכותית בארצות הברית, ומשקיעה עד 500 מיליארד דולר בהגדלת כושר הייצור לשבבי Blackwell ולמערכות בינה מלאכותית שלה.
  • TSMC החלה בייצור ניסיוני של תהליך 2 ננומטר (N2) ב-2024, עם ייצור מסחרי הצפוי בסוף 2025; סמסונג מתכננת ייצור 2 ננומטר ב-2025, ואינטל מכוונת ל-18A עם GAA ל-2026–2027.
  • ASML החלה לספק את מכשירי ה-EUV בעלי מפתח מספרי גבוה (EXE:5000) ב-2025, כאשר כל מכשיר עולה מעל 350 מיליון אירו, בעוד ש-TSMC דוחה את השימוש במכשירים אלו בתהליך N2 הראשוני שלה ואינטל מתכננת שימוש במכשירים אלו עבור 14A ב-2026–2027.
  • מערכת האקוסיסטם של צ'יפלטים צברה תאוצה סביב תקן Universal Chiplet Interconnect Express (UCIe), עם כנס Chiplet Summit 2025 ו-tape-out של צ'יפלט מערכת מבוסס Arm על ידי Cadence.
  • הכנסות משבבים לתעשיית הרכב צפויות לעבור 85–90 מיליארד דולר ב-2025, כאשר רכבי יוקרה חשמליים כוללים שבבים בשווי של מעל 1,000 דולר, ו-NVIDIA Drive Orin/Thor, Mobileye EyeQ Ultra ו-Tesla Dojo D1 מדגימים נהיגה מבוססת בינה מלאכותית.

מעגלים משולבים (ICs) הם המנועים הבלתי נראים של עולמנו הדיגיטלי, ושנת 2025 מסתמנת כשנה מכריעה בחדשנות השבבים ובצמיחת התעשייה. לאחר ירידה קלה, מגזר המוליכים למחצה מתאושש בעוצמה – מכירות השבבים העולמיות באפריל 2025 הגיעו ל-57 מיליארד דולר, עלייה של 22.7% לעומת השנה הקודמת semimedia.cc. אנליסטים צופים כי צמיחה דו-ספרתית תדחוף את ההכנסות השנתיות של תעשיית המוליכים למחצה לשיאים חדשים (כ-700 מיליארד דולר ב-2025) semimedia.cc, deloitte.com, מה שמציב את התעשייה בדרך לשוק שאפתני של טריליון דולר עד 2030 deloitte.com. הזינוק הזה מונע על ידי ביקוש מתפוצץ למעבדי בינה מלאכותית, הקמה מסיבית של מרכזי נתונים, והתאוששות בהזמנות שבבים לתעשיית הרכב והתעשייה semimedia.cc, deloitte.com. כפי שאמר אחד המנהלים, "הכול דיגיטלי פועל על מוליכים למחצה", מה שמדגיש כי שבבים הפכו לחשובים אסטרטגית כמו נפט בכלכלה המודרנית mitsloan.mit.edu. בדוח זה נסקור את ההתפתחויות המרכזיות בטכנולוגיית ובתחום העסקים של מעגלים משולבים ב-2025 – מהתקדמויות טכניות פורצות דרך (כמו שבבי 3 ננומטר, טרנזיסטורי ננושיט והיברידים קוונטיים) ועד מגמות שוק מכריעות (כגון האצת בינה מלאכותית, מחשוב קצה, בום הסיליקון לרכב) והזרמים הגיאופוליטיים שמעצבים מחדש את מפת השבבים העולמית.

החידושים והחדשות העדכניות ביותר בתחום השבבים ב-2025

מעבדים מתקדמים: שנת 2025 כבר ראתה את הופעתם של שבבים מהדור הבא במגזרי המחשוב השונים. באלקטרוניקה הצרכנית, למשל, מערכת השבבים החדשה של אפל בגודל 3 ננומטר (כמו ה-A17 Bionic בטלפונים וה-M3 במחשבים ניידים) מדגימה עד כמה המיזעור התקדם, עם מיליארדי טרנזיסטורים נוספים לביצועים גבוהים יותר וצריכת חשמל נמוכה יותר. בינתיים, מעבדי PC ושרתים מאמצים ארכיטקטורות ואריזות חדשות. מעבדי "Panther Lake" הקרובים של אינטל, הצפויים לסוף 2025, יהיו הראשונים שייוצרו בתהליך 18A של אינטל (בערך 1.8 ננומטר) ומוגדרים כ-"המעבדים המתקדמים ביותר שאי פעם עוצבו ויוצרו בארצות הברית" reuters.com. המתחרה AMD גם היא מעבירה את המעבדים שלה לטכנולוגיות המתקדמות של TSMC: משפחת Zen 5 לשנים 2024–25 עושה שימוש בגרסאות 4 ננומטר ו-3 ננומטר, עם עשרות ליבות ואפילו שילוב של מנועי האצה ל-AI (בהסתמך על טכנולוגיה מרכישת Xilinx של AMD) להאצת משימות למידת מכונה en.wikipedia.org, anandtech.com. בזירת הגרפיקה והבינה המלאכותית, ה-GPU החדשים "Hopper" והעתידיים "Blackwell" של NVIDIA ממשיכים לדחוף גבולות חדשים – שבבים אלה כוללים עשרות אלפי ליבות המותאמות לחישובי AI מקבילים, ו-NVIDIA טוענת כי שבב העל החדש שלה למרכזי נתונים מהיר פי 30× באינפרנס של AI מהדור הקודם techcrunch.com. קפיצות כאלה ממחישות כיצד סיליקון ייעודי מתפתח מהר יותר מהקצב המסורתי של חוק מור. "המערכות שלנו מתקדמות הרבה יותר מהר מחוק מור," אמר מנכ"ל NVIDIA ג'נסן הואנג, וייחס את ההישגים החדשניים הללו לשילוב של חידושים ב-ארכיטקטורת שבבים, מערכות ותוכנה techcrunch.comtechcrunch.com.

בום מאיצי ה-AI: נושא מרכזי ב-2025 הוא מרוץ החימוש במאיצי AI. מעבר ל-GPU, כמעט כל שחקן מרכזי משיק שבבים ייעודיים לבינה מלאכותית. NVIDIA שומרת על דומיננטיות בשבבי AI מתקדמים, אך המתחרים מצמצמים פערים. AMD, למשל, חשפה את סדרת MI300/MI350 החדשה של מאיצי AI למרכזי נתונים באמצע 2025, עם שיפורי ביצועים שמאתגרים את ההיצע המוביל של NVIDIA. באירוע "Advancing AI" ביוני 2025, AMD אף העלתה את מנכ"ל OpenAI לבמה להכריז כי OpenAI תאמץ את שבבי MI300X/MI400 הקרובים של AMD בתשתיות שלה reuters.com. התוכנית השאפתנית של AMD כוללת מחשב-על AI מוכן לשימוש (שרת "Helios") עם 72 יחידות MI400 – השוואה ישירה למערכות DGX של NVIDIA – ואסטרטגיה של "שיתוף פעולה פתוח". "העתיד של AI לא ייבנה על ידי חברה אחת או באקוסיסטמה סגורה. הוא יעוצב על ידי שיתוף פעולה פתוח בתעשייה כולה," אמרה מנכ"לית AMD ליסה סו, ברמיזה לעקיצה כלפי הגישה הפרופרייטרית יותר של NVIDIA reuters.com. גם סטארטאפים דוחפים חדשנות: חברות כמו Cerebras (עם מנועי AI בגודל וייפר) ו-Graphcore (עם יחידות עיבוד אינטליגנציה) חוקרות עיצובים חדשניים של שבבים להאצת רשתות נוירונים. אפילו היפרסקיילרים (גוגל, אמזון, מטא) מפתחים שבבי AI משלהם – למשל TPU v5 של גוגל ושבבי Inferentia של אמזון – המותאמים לעומסי העבודה האדירים שלהם. התוצאה היא מגוון חסר תקדים של מעגלים משולבים המותאמים ל-AI, ממחשבי-על בענן ועד שבבי edge AI זעירים שיכולים להריץ רשתות נוירונים בסמארטפונים או גאדג'טים של IoT.

הכרזות בולטות לשנת 2025: מספר מעגלים משולבים (ICs) שזכו לכותרות הושקו או הוכרזו ב-2025. NVIDIA יצרה באזז עם תוכניות לייצר שבבי בינה מלאכותית בארה"ב לראשונה – תוך שיתוף פעולה עם TSMC ואחרים להשקעה של עד 500 מיליארד דולר ביכולת ייצור אמריקאית חדשה עבור ה-GPU והמערכות הבאות שלה, "Blackwell" manufacturingdive.com. אינטל, במהלך מאמץ התחדשות משמעותי, חשפה מעבד PC ללקוח מבוסס צ'יפלטים (דור 14 Meteor Lake) שמשלב אריחים מתהליכים שונים ואפילו מפאבים שונים – לראשונה בליין של אינטל – כולל מאיץ בינה מלאכותית ייעודי ללמידת מכונה בצד ה-PC. קוואלקום, המובילה בשבבי SoC למובייל, השיקה את פלטפורמת Snapdragon 8 Gen3 עם מאיצי טנזור AI חזקים יותר ל-AI גנרטיבי במכשיר (כמו תכונות מצלמה חכמות ועוזרים קוליים בטלפון שלך). בתחום הרכב, טסלה הכריזה על שבב Dojo D1 (מיוצר ב-7 ננומטר) שיפעיל את מחשב העל לאימון בינה מלאכותית לנהיגה אוטונומית שלה, בעוד ספקיות שבבים מסורתיות לרכב (כמו NXP, אינפיניון ורנסאס) השיקו מעבדים חדשים בתקן רכב לתמיכה במערכות סיוע מתקדמות לנהג וניהול הספק לרכבים חשמליים. אפילו שבבים אנלוגיים ו-RF זוכים לחדשנות – לדוג' משדרי רדיו 5G וצ'יפסטים ל-Wi-Fi 7 ב-2025 שמבטיחים קישוריות אלחוטית מהירה יותר, והתקדמות בשבבים אנלוגיים (כמו ממירי נתונים מהירים ומעגלי ניהול הספק) ממשיכה להיות קריטית למעבדים הדיגיטליים. בקיצור, החדשות של 2025 מלאות בשבבים מהירים, חכמים ויעילים יותר בכל התחומים, ושומרים על חוק מור בחיים לא רק דרך מזעור טרנזיסטורים אלא גם באמצעות תכנון חכם ואופטימיזציה ייעודית לתחום.

התקדמות בתכנון, ייצור וחומרים של שבבים

מאחורי פריצות הדרך במוצרים עומדות לא פחות חשובות התקדמויות באופן שבו שבבים מתוכננים ומיוצרים. תעשיית המוליכים למחצה דוחפת קדימה במספר חזיתות – ליתוגרפיה, ארכיטקטורת טרנזיסטורים, אריזה וחומרים – כדי להמשיך ולשפר ביצועים וצפיפות גם כאשר קצב המזעור המסורתי מואט.

ליתוגרפיית EUV וצמתי תהליך של 2 ננומטר: בטכנולוגיית ייצור, שנת 2025 מסמנת את המעבר לדור ה-2 ננומטר, שמביא את ה-טרנזיסטורי nanosheet gate-all-around (GAA) הראשונים לייצור המוני. TSMC וסמסונג – המפעלי ייצור המובילים – נמצאות במרוץ צמוד להשקת תהליכי 2 ננומטר שלהן. ה-2 ננומטר (N2) של TSMC מתקדם כמתוכנן, עם ייצור ניסיוני ב-2024 וייצור המוני מתוכנן לסוף 2025 en.wikipedia.org, ts2.tech. הוא כולל טרנזיסטורי nanosheet FET מהדור הראשון וצפוי לספק קפיצה מלאה בביצועים וביעילות צריכת החשמל. סמסונג, שהובילה את טרנזיסטורי GAA ב-3 ננומטר ב-2022, מתכננת גם היא להתחיל ייצור 2 ננומטר ב-2025 en.wikipedia.org, אם כי דיווחים מצביעים על כך של-TSMC יש יתרון בתפוקות ובתזמון ts2.tech. מפת הדרכים של אינטל גם היא שאפתנית: לאחר שהציגה FinFET ב-7 ננומטר (Intel 4) ו-4 ננומטר (Intel 3), אינטל תעבור ל-GAA עם ה-20A ו-18A שלה (~2 ננומטר ו-~1.8 ננומטר). בסימפוזיון VLSI ביוני 2025, אינטל פירטה כי 18A תשתמש בטרנזיסטורי GAA בנוסף לטכניקות חדשות כמו הולכת חשמל מהגב וממשקים חדשניים, מה שיביא לצפיפות גבוהה ב-30% ומהירות גבוהה בכ-20% (או חיסכון של 36% בצריכת חשמל) לעומת הצומת של 2023 ts2.tech. השבבים הראשונים ב-18A (מעבדי הלפטופ Panther Lake של אינטל) צפויים עד סוף 2025 ts2.tech – בערך באותו זמן שבו לקוחות מפעלים כמו AMD מתכננים את השקות ה-2 ננומטר שלהם ב-2026. כך, עד 2025–26 התעשייה תיכנס רשמית ל-"עידן האנגסטרום" של סיליקון מתחת ל-2 ננומטר, כאשר מספר חברות מתחרות על הובלת התהליך.

כדי לאפשר את התכונות הזעירות הללו, הליתוגרפיה המתקדמת ביותר היא קריטית. ליתוגרפיית אולטרה-סגול קיצוני (EUV), הפועלת באורך גל של 13.5 ננומטר, היא כיום מיינסטרים בצמתי 7 ננומטר, 5 ננומטר ו-3 ננומטר. השלב הבא הוא EUV בעל מפתח נומרי גבוה (High-NA EUV) – סורקי EUV מהדור הבא עם מפתח נומרי של 0.55 (לעומת 0.33), שיכולים להדפיס דפוסים עדינים אף יותר. ב-2025, יצרנית הציוד ההולנדית ASML החלה לשלוח את מכונות ה-High-NA EUV הראשונות (סדרת EXE:5000) ליצרניות שבבים למחקר ופיתוח ts2.tech. באמצע 2025, אינטל, TSMC וסמסונג כל אחת התקינה כלים ראשונים של High-NA במעבדות שלהן ts2.tech. עם זאת, האימוץ נעשה בזהירות בשל העלות והמורכבות של הטכנולוגיה. כל כלי High-NA עולה מעל €350 מיליון (כמעט כפול מסורק EUV רגיל כיום) ts2.tech. TSMC הצהירה שעדיין לא מצאה "סיבה משכנעת" להשתמש ב-High-NA בגל הראשון של 2 ננומטר שלה, ובחרה להמשיך עוד קצת עם EUV קונבנציונלי ts2.tech. למעשה, TSMC אישרה כי היא לא תשתמש ב-High-NA EUV בצומת N2 הראשוני שלה (המכונה "A16") ts2.tech. אינטל, לעומת זאת, הולכת על כל הקופה – היא מתכננת להפעיל High-NA EUV בתהליך Intel 14A שלה עד 2026–2027 כדי להחזיר את ההובלה הטכנולוגית ts2.tech. אינטל קיבלה את כלי ה-High-NA הראשוני שלה ב-2025 ושואפת להרצה ניסיונית ב-2026 ts2.tech. הקונצנזוס בתעשייה הוא ש-2025–2027 יוקדשו להוכחת High-NA בייצור, כאשר שימוש בנפח אמיתי צפוי רק בהמשך העשור ts2.tech. כך או כך, ASML כבר מכינה כלי High-NA מהדור השני (EXE:5200) למשלוח "בקרוב", שיהיה הדגם המיועד לייצור המוני הדרוש לאימוץ נרחב במפעלי ייצור ts2.tech. בשורה התחתונה: הליתוגרפיה ממשיכה להתקדם, גם אם בעלות אסטרונומית – אך היא נותרת מנוף מרכזי לשמירה על חוק מור.צ'יפלטים ואריזות מתקדמות: ככל ששבבים מונוליתיים מסורתיים מגיעים למגבלות גודל ותשואה, התעשייה מאמצת ארכיטקטורות chiplet – פירוק עיצוב שבב גדול ל"צ'יפלטים" או אריחים קטנים יותר שמוטמעים בתוך מארז. גישה זו זכתה לפופולריות עצומה ב-2025 כיוון שהיא פותרת מספר נקודות כאב: תשואות טובות יותר (לפרוסות קטנות יש פחות פגמים), אפשרות לשלב צמתי ייצור שונים עבור חלקים שונים של המערכת, וזמן הגעה לשוק ועלות מופחתים עבור שיפורים הדרגתיים community.cadence.com. על ידי פירוק מערכת על שבב, מהנדסים יכולים לייצר, לדוגמה, ליבות CPU בצומת ייצור מתקדם במיוחד, תוך שמירה על פונקציות אנלוגיות או I/O בצומת זול יותר, ואז לחבר ביניהן עם ממשקים בעלי רוחב פס גבוה. AMD הייתה חלוצה בתחום – סדרת Zen של מעבדי PC שלה מ-2019 ואילך השתמשה בצ'יפלטים (מספר "פרוסות" ליבות CPU ופרוסות I/O), וב-2025 גם ה-GPU וה-SoC האדפטיביים שלה משתמשים בעיצובי צ'יפלטים. אינטל עם Meteor Lake (2023/2024) הציגה גם היא מעבד מרובע אריחים, כאשר אריחי החישוב יוצרו בתהליך של אינטל ואריח הגרפיקה יוצר על ידי TSMC, כולם מחוברים באמצעות Foveros – טכנולוגיית ערימה תלת-ממדית של אינטל. האקוסיסטם ממהר לתקנן ממשקי צ'יפלטים: תקן UCIe (Universal Chiplet Interconnect Express) החדש, בתמיכת כל השחקנים המרכזיים, מגדיר ממשק אחיד בין פרוסה לפרוסה, כך שבעתיד צ'יפלטים מספקים שונים או מפאבים שונים יוכלו לתקשר זה עם זה בקלות community.cadence.com. זה עשוי לאפשר "שוק צ'יפלטים פתוח" שבו חברות מתמחות בייצור אריחים מסוימים (CPU, GPU, מאיצי AI, IO, זיכרון) שחברות מערכות יוכלו לשלב ולהתאים. עיצוב מבוסס צ'יפלטים מבטיח לכן מודולריות וגמישות גבוהות יותר, ומאפשר למעשה להמשיך את "חוק מור" ברמת המארז, גם אם השיפורים לכל טרנזיסטור מואטים community.cadence.com. כהוכחה לתנופה, Chiplet Summit 2025 כינס מנהיגי תעשייה לקביעת תקנים, וכנסים כמו CHIPCon 2025 הדגישו שאנו "בחזית מהפכת הצ'יפלטים", עם מומחים שהציגו שיטות חדשות לאינטגרציה 2.5D/3D ותקשורת בין פרוסות micross.com. אפילו חברות EDA מצטרפות: Cadence Design, למשל, הודיעה שהצליחה להוציא לפועל דמו של "צ'יפלט מערכת" מבוסס Arm, המדגים תמיכה של EDA ו-IP באינטגרציה של ריבוי צ'יפלטים community.cadence.com.

במקביל לצ'יפלטים, טכנולוגיות אריזה מתקדמת הן קריטיות. אלו כוללות אריזה 2.5D (הצבת צ'יפלטים על אינטרפוזר או מצע אורגני עם ניתוב צפוף) ו-הערמה תלת-ממדית (3D stacking) (הערמה פיזית של פרוסות סיליקון זו על גבי זו וחיבור ביניהן). האריזות CoWoS ו-SoIC של TSMC, X-Cube של סמסונג, ו-EMIB ו-Foveros של אינטל הן דוגמאות לשיטות לשילוב מספר פרוסות סיליקון בצפיפות גבוהה. עד 2025, אנו אף רואים הערמת זיכרון על לוגיקה במוצרים: מעבדי השרת של AMD מציעים מטמון מוערם בתלת-ממד (פרוסת SRAM נוספת שמודבקת מעל פרוסת המעבד להגדלת זיכרון המטמון), וערימות HBM (High Bandwidth Memory) משולבות לרוב על גבי האריזה עם כרטיסי מסך ומאיצי בינה מלאכותית כדי להשיג רוחב פס זיכרון עצום. פריצות דרך אלו בתחום האריזה מאפשרות למהנדסים להתגבר על מגבלות מסוימות של קנה מידה של פרוסה בודדת על ידי הוספת יכולות בצורה אנכית. מובילי התעשייה מציינים כי אינטגרציה הטרוגנית – שילוב צ'יפלטים שונים, זיכרון, ואפילו פרוסות פוטוניות או חיישנים בחבילה אחת – היא כיום מנוע מרכזי לשיפור מערכות כאשר קנה המידה של טרנזיסטורים לבדו מניב תשואות פוחתות micross.com.

חומרים חדשים – מעבר לסיליקון: בעוד שסיליקון עדיין מהווה את עמוד השדרה, 2025 מתאפיינת גם באימוץ רחב יותר של מוליכים-למחצה "רוחב פס רחב" ובחקר חומרים שאחרי הסיליקון. באלקטרוניקת הספק וביישומים לרכב, התקנים מ-גליום ניטריד (GaN) ו-סיליקון קרביד (SiC) חווים צמיחה מהירה. חומרים אלה מסוגלים להתמודד עם מתחים גבוהים יותר, טמפרטורות גבוהות יותר ומהירויות מיתוג מהירות יותר מסיליקון, מה שהופך אותם לאידיאליים עבור ממירי רכב חשמלי (EV), מטענים בעלי יעילות גבוהה ותחנות בסיס 5G. למעשה, תעשיות הדוחפות את גבולות הביצועים כבר עברו במקרים רבים מעבר לסיליקון. "רכבים חשמליים המאמצים ארכיטקטורות 800V לא יכולים להרשות לעצמם את ההפסדים של הסיליקון – הם דורשים SiC. מרכזי נתונים ואלקטרוניקה צרכנית השואפים לצפיפות הספק פונים ל-GaN," כפי שנאמר בניתוח תעשייתי אחד microchipusa.com. עד 2025, טרנזיסטורי GaN הגיעו לשוויון עלות עם סיליקון בחלק מהיישומים הצרכניים (כמו מטעני טלפון מהירים), והתקני SiC מתרחבים עם ירידות עלות של כ-20% בשנה microchipusa.com. אנליסטים צופים שיותר מ-מחצית מהרכבים החשמליים החדשים עד 2026 ישתמשו בהתקני הספק SiC או GaN ככל שהטכנולוגיה מתבגרת jakelectronics.com. התוצאה היא המרת הספק יעילה יותר – ממירי EV המשתמשים ב-SiC משיגים יעילות של 5–10% (המתורגמת לטווח נסיעה ארוך יותר) וספקי כוח למרכזי נתונים המשתמשים ב-GaN חוסכים משמעותית באנרגיה ועלויות קירור microchipusa.com. בקיצור, GaN ו-SiC משנים את כללי המשחק באלקטרוניקת הספק, ומאפשרים מערכות קטנות, קרירות ויעילות יותר במקום בו הסיליקון הגיע למגבלותיו microchipusa.com.

בחזית המחקר, חומרים אקזוטיים עוד יותר נמצאים בצנרת. בשנת 2025 הודגמו במעבדה חומרים מוליכים למחצה דו-ממדיים (כמו דיכלוגנידים של מתכות מעבר) בשבב CMOS אב-טיפוס ts2.tech – מסלול מרוחק אך מסקרן לעבר ערוצי טרנזיסטור דקים ברמת אטום, שעשויים יום אחד להחליף או להשלים את הסיליקון. חוקרים בוחנים גם מבני CFET (טרנזיסטור שדה משלים), ננו-צינוריות פחמן, וחומרים ספינטרוניים ופרואלקטריים כדי להתגבר על מגבלות ה-CMOS הנוכחיות. חשיפתה של IBM בשנת 2021 של שבב ניסוי בגודל 2 ננומטר המשתמש בטרנזיסטורי ננושיט (אבן דרך שעליה בנו סמסונג ו-TSMC) היא דוגמה לאופן שבו פריצות דרך עוברות מהמעבדה למפעל תוך מספר שנים en.wikipedia.org. ומעבר להולכה אלקטרונית, פוטוניקה משולבת צוברת תאוצה – 2025 הביאה לשילוב נוסף של מעגלים פוטוניים משולבים לתקשורת אופטית מהירה בין שבבים (כדי להקל על צווארי בקבוק של חיבורים חשמליים) micross.com. בסך הכול, בעוד שהסיליקון עדיין שולט, התעשייה בוחנת באופן פעיל חומרים חדשים ופיזיקת התקנים כדי להבטיח את העשורים הבאים של התקדמות במחשוב.

בינה מלאכותית, קצה, רכב וקוונטום: מגמות מפתח בשבבים ב-2025

בינה מלאכותית בכל מקום: מהענן ועד למכשירים

החום סביב בינה מלאכותית גנרטיבית שטף את עולם הטכנולוגיה בשנה האחרונה, וב-2025 הוא מתבטא גם בתכנון שבבים. כפי שצוין, יש ביקוש עצום לשבבי בינה מלאכותית במרכזי נתונים (GPU, TPU, FPGA וכו') – שוק שבבי מאיצי הבינה המלאכותית יותר מהכפיל את עצמו ב-2024 והגיע לכ-125 מיליארד דולר (יותר מ-20% מכלל מכירות השבבים) deloitte.com. ב-2025 הוא צפוי לעבור את רף ה-150 מיליארד דולר deloitte.com. זה עורר בהלת זהב בקרב חברות שבבים לבנות את מנועי הבינה המלאכותית הטובים ביותר. מנכ"ל NVIDIA, ג'נסן הואנג, אף טען שאנו עדים לחוק חדש של ביצועי מחשוב: "השבבים שלנו לבינה מלאכותית משתפרים בקצב מהיר בהרבה מחוק מור," אמר, וייחס זאת לאינטגרציה אנכית של חומרה ותוכנה techcrunch.com. אכן, האקוסיסטם התוכנתי של NVIDIA (CUDA וספריות בינה מלאכותית) בשילוב עם החומרה שלה נתן לה יתרון עצום, אך מתחרים חדשים צצים. אנו רואים התמחות בינה מלאכותית בכל קנה מידה: במרכזי נתונים בענן, חברות מאמצות יותר ויותר מעבדים ייעודיים לבינה מלאכותית (למשל, AWS של אמזון מציעה מופעים עם שבבי Inferentia2 ייעודיים, גוגל עם אשכולות TPU v4 וכו'), בעוד שבמכשירי צרכן, יחידות עיבוד עצבי (NPU) חדשות משולבות בסמארטפונים, מחשבים אישיים ואפילו במכשירי חשמל כדי לבצע חישובי בינה מלאכותית מקומיים. סמארטפונים ב-2025 כוללים באופן שגרתי מעבדי משנה לבינה מלאכותית שמבצעים מיליארדי פעולות בשנייה למשימות כמו תרגום שפה בזמן אמת, שיפור תמונה או זיהוי ביומטרי – והכול מבלי לשלוח נתונים לענן. יצרניות המחשבים האישיים גם משווקות "מחשבי בינה מלאכותית" עם שבבים כמו סדרת Core Ultra הקרובה של אינטל (שכוללת מנוע עצבי מטכנולוגיית Movidius שלה) ומעבדי המחשבים Oryon של קוואלקום, שמאפשרים יישומים כמו עוזרי משרד מבוססי בינה מלאכותית ותכונות אבטחה מתקדמות שפועלות ישירות על המכשיר.

מגמה בולטת היא בינה מלאכותית בקצה הרשת – הרצת אלגוריתמים של בינה מלאכותית על מכשירי IoT, לבישים וחיישנים. הדבר הוביל להופעתם של מעגלים משולבים (ICs) לבינה מלאכותית בצריכת חשמל אולטרה-נמוכה ו-TinyML (למידת מכונה על מיקרו-בקרים). סטארטאפים כמו Ambiq פיתחו מיקרו-בקרים עם חומרה ייעודית שיכולה לבצע משימות בינה מלאכותית פשוטות בצריכת מיליוואטים בודדים; למעשה, ההנפקה של Ambiq ב-2025 התקבלה בהתלהבות כאשר היא "רוכבת על גל הבינה המלאכותית בקצה", מה שממחיש את התלהבות המשקיעים משבבים שמביאים אינטליגנציה לקצה eetimes.com. בדומה לכך, השבבים האנלוגיים לבינה מלאכותית של Mythic ו-מעבדי הראייה לבינה מלאכותית של Himax הם דוגמאות לשחקנים נישתיים שמעצבים שבבים להטמעת רשתות נוירונים בכל דבר, ממצלמות חכמות ועד מכשירי שמיעה. תנועת הקוד הפתוח לבינה מלאכותית מצטלבת גם היא עם החומרה: מאיצים למסגרות בינה מלאכותית פתוחות פופולריות ותמיכה בהרצה על מעבדי RISC-V, לדוגמה, מוכרזים, ומנגישים את הבינה המלאכותית מעבר לאקוסיסטמות קנייניות. לסיכום, האצת בינה מלאכותית כבר אינה מוגבלת למחשבי-על – היא הופכת לתכונה סטנדרטית בכל ספקטרום המעגלים המשולבים, מותאמת לצרכי הספק וביצועים של כל יישום.

בום הסיליקון של מחשוב קצה ו-IoT

ריבוי המכשירים המקושרים – האינטרנט של הדברים – ממשיך להיות מנוע צמיחה מרכזי עבור תעשיית השבבים. מחשוב קצה, שמעבד נתונים על מכשירים מקומיים (ולא במרכזי נתונים בענן), דורש דור חדש של מעגלים משולבים המדגישים יעילות, אבטחה ואינטגרציה. בשנת 2025 אנו רואים מיקרו-בקרים ושבבים אלחוטיים נשלחים בכמויות עצומות עבור חיישנים חכמים, אוטומציה ביתית, לבישים רפואיים ו-IoT תעשייתי. שבבי "קצה" אלו הופכים למתקדמים יותר: מיקרו-בקרים מודרניים כוללים ליבות 32-ביט/64-ביט (לעיתים קרובות Arm Cortex-M או ליבות RISC-V מתקדמות) עם הרחבות פקודות בינה מלאכותית מובנות, רדיו על השבב (Bluetooth, Wi-Fi, Zigbee וכו') ואבטחה משופרת (מנועי הצפנה, מתחמים מאובטחים) – למעשה פתרונות מערכת על שבב ל-IoT. לדוגמה, המיקרו-בקר Wi-Fi החדש של Espressif או שבבי EdgeLock של NXP משלבים את כל התכונות הללו כדי לאפשר למכשירי קצה לטפל במשימות באופן מקומי, החל מזיהוי קולי ברמקול חכם ועד זיהוי חריגות בחיישן תעשייתי, תוך שמירה על הצפנת הנתונים.

חשוב לציין, דחיפת החישוב לקצה הרשת מפחיתה השהיה ויכולה לשפר את הפרטיות (מכיוון שאין צורך לשלוח נתונים גולמיים כמו אודיו או וידאו לענן). מתוך הכרה בכך, גם חברות הטכנולוגיה הגדולות מתמקדות ב-AI בקצה – לדוג' ב-2025, מיקרוסופט וקוואלקום הכריזו על מאמצים להריץ הסקת מסקנות של מודלים שפתיים גדולים על סמארטפונים ומחשבים אישיים, ומסגרת CoreML של אפל מאפשרת למידת מכונה על המכשיר לאפליקציות iOS באמצעות Apple Neural Engine שבשבבים שלה. שוק שבבי ה-AI לקצה צומח במהירות. סימן מוחשי לכך: חברות שבבים המתמקדות בקצה מושכות תשומת לב ממשקיעים, כמו Ambiq, שהנפקתה הביאה לעלייה חדה במנייתה ב-2025 על רקע אופטימיות לגבי עיבוד AI בצריכת חשמל אולטרה-נמוכה במכשירים לבישים eetimes.com. בנוסף, ארכיטקטורת RISC-V – ה-ISA של מעבדי CPU בקוד פתוח – תופסת אחיזה חזקה ב-IoT ובקצה בזכות יכולת ההתאמה האישית שלה והיעדר עלות רישוי. עד 2025, ליבות RISC-V נשלחות באינספור שבבי IoT; אפילו כמה חברות גדולות (כמו אינפיניון עבור MCU לרכב ומיקרוצ'יפ עבור בקרים ל-IoT) הכריזו על מעבר ל-RISC-V בקווי מוצרים עתידיים eetimes.com.

כל זה אומר ששוק השבבים למכשירי קצה מתרחב. יותר מכשירים בקצה הרשת משמעם יותר מיקרו-בקרים, שבבי קישוריות, חיישנים ומעגלי ניהול הספק נמכרים. "תכולת הסיליקון" בחפצים יומיומיים עולה – מתרמוסטטים חכמים ונורות ועד משקפי AR/VR ורחפנים. דוחות תעשייה חוזים צמיחה חזקה במגזרים אלה עד 2025 ואילך, כאשר מיליארדי יחידות IoT מתחברות לרשת מדי שנה. האתגר עבור מתכנני שבבי קצה הוא לספק ביצועים גבוהים במסגרת מגבלות צריכת חשמל ועלות, וההתקדמות הארכיטקטונית של 2025 (למשל מאיצי AI קטנים, עיצובים יעילים של RISC-V) עונה על הצורך הזה.

שבבים לרכב: מנוע הצמיחה החדש

מכוניות הן למעשה מחשבים על גלגלים, והמציאות הזו מניעה בום בשוק השבבים לרכב. השנים האחרונות הדגישו זאת עם מחסור בשבבים שעצר ייצור מכוניות; כעת, ב-2025, יצרניות הרכב דואגות באדיקות לאספקה שלהן ואפילו מעצבות שבבים ייעודיים. רכבים מודרניים – במיוחד חשמליים וכאלה עם יכולות אוטונומיות – דורשים מאות שבבים לכל רכב, מחיישנים פשוטים ומייצבים ועד למעבדים מתקדמים. זה הפך את תחום הרכב למגזר הצומח ביותר בתעשיית השבבים. אנליסטים מעריכים כי שוק השבבים לרכב יעלה על 85–90 מיליארד דולר ב-2025 (עלייה של כ-12–16% משנה לשנה) techinsights.com, autotechinsight.spglobal.com, וימשיך לעלות ככל שתוכן האלקטרוניקה ברכב יגדל. לשם השוואה, רכבי יוקרה חשמליים עשויים להכיל שבבים בשווי של מעל 1,000 דולר כל אחד, המפעילים הכל מניהול סוללה וממירי מתח (שמשתמשים ברבים מטרנזיסטורי SiC MOSFET) ועד מערכות מולטימדיה, חיישני ADAS, מודולי קישוריות ועשרות מיקרו-בקרים לפונקציות גוף ובטיחות שונות.

מגמות מרכזיות בשבבים לרכב כוללות: החשמול, שדורש אלקטרוניקת הספק ושבבים לניהול סוללה (כאשר SiC פורץ דרך להמרת הספק יעילה microchipusa.com), ואוטומציה, שדורשת מחשוב ובקרה מתקדמים. חברות כמו NVIDIA, Mobileye (Intel), ו-Qualcomm מתחרות באגרסיביות לספק את "מוח ה-AI" לסיוע נהיגה ונהיגה אוטונומית. שבבי Drive Orin ו-Thor SoCs החדשים של NVIDIA מכילים עשרות מיליארדי טרנזיסטורים ומבצעים טריליוני פעולות בשנייה כדי לעבד בזמן אמת נתוני מצלמות, רדאר ולידאר; דגמי רכב חשמליים חדשים ופלטפורמות רובוטקסי רבות מבוססות עליהם. מובילאיי, חלוצה בשבבי ראייה לרכב, השיקה את EyeQ Ultra ב-2025 במטרה לנהיגה אוטונומית מלאה, בעוד פלטפורמת Snapdragon Ride של קוואלקום זכתה לשילוב במספר יצרניות רכב עבור מערכות קונסולה חכמה ו-ADAS. טסלה ממשיכה לפתח את שבב ה-FSD (נהיגה אוטונומית מלאה) שלה עבור Autopilot, ומדגימה את מגמת יצרניות הרכב להשקיע ישירות בשבבים ייעודיים לשם בידול. אפילו אפל על פי שמועות מפתחת שבבים לרכב (בעודה בוחנת את תחום הרכב החשמלי/אוטונומי).

בצד שרשרת האספקה, יצרניות הרכב וממשלות למדו מהמחסור של 2020–2021. יש דחיפה ליותר קיבולת ייעודית לשבבים לרכב (שדורשים טכנולוגיות ייצור ותיקות אך אמינות מאוד). TSMC, למשל, הרחיבה קיבולת ב-28 ננומטר ו-16 ננומטר עבור מיקרו-בקרים לרכב, ומתוכננות פאבים חדשים (חלקם בארה"ב וביפן בתמיכת ממשלה) שיתמקדו בשבבים לרכב ולמערכות הספק. בנוסף, שיתופי פעולה כמו טויוטה ודנסו בשותפות לייצור שבבים, ו-GM שעובדת עם ספקי שבבים צצו כדי להבטיח אספקה ארוכת טווח.

לסיכום, מוליכים למחצה הפכו לקריטיים כמו מנועים בהגדרת ביצועי הרכב ותכונותיו. הדבר מניע לא רק צמיחה בשוק אלא גם חדשנות: שבבים לרכב מובילים כיום בתחומים מסוימים – לדוג' הם נדרשים לעמוד לעיתים קרובות בטמפרטורות קיצוניות ולאורך חיים ארוך, מה שמקדם טכנולוגיות אריזה וחומרים; וחיבוריות רכב (תקשורת V2X) היא תחום שמכניס שבבי RF מתקדמים לרכבים. עד 2025, ברור שהחברות שיצליחו בתחום שבבי הרכב יהיו במרכז עתיד תעשיית הרכב. המגמה של "רכבים מוגדרי-תוכנה" – שבה תכונות חדשות מגיעות בעדכוני תוכנה הנשענים על שבבים חזקים ברכב – מחזקת עוד יותר את העובדה שסיליקון הוא כוח הסוס החדש. כפי שדווח, ההכנסות ממוליכים למחצה לרכב צפויות להכפיל את עצמן בעשור הקרוב infosys.com, techinsights.com, מה שמדגיש את ההזדמנות.

מחשוב היברידי קוונטי-קלאסי

בעוד ששבבי סיליקון קלאסיים ממשיכים להתפתח, מחשוב קוונטי צומח כפרדיגמה שונה לחלוטין – ומעניין לראות ש-שילוב של מחשוב קוונטי וקלאסי הוא מגמה של 2025. מכיוון שמעבדים קוונטיים (קיוביטים) עדיין מוגבלים ורגישים לשגיאות, החזון לטווח הקרוב הוא מערכות היברידיות שבהן קופרוססור קוונטי פועל לצד מחשבים קלאסיים עתירי ביצועים. מאמצים תעשייתיים מרכזיים ב-2025 משקפים את ההתכנסות הזו. לדוג', NVIDIA הכריזה על DGX Quantum, פלטפורמה שמחברת באופן הדוק את אחד ה-GPU המתקדמים שלה עם בקר קוונטי של הסטארט-אפ Quantum Machines, ומאפשרת אלגוריתמים קוונטיים-קלאסיים מתואמים quantum-machines.co. מערך כזה מאפשר למחשב קוונטי להעביר משימות ל-GPU (ולהפך) בצורה חלקה במהלך ביצוע אלגוריתם – קריטי למחקרי בינה מלאכותית קוונטית, למשל. בדומה לכך, ביפן, Fujitsu ו-RIKEN חשפו תוכניות ל-מחשב קוונטי על-מוליך עם 256 קיוביטים המשולב בפלטפורמת מחשוב-על קלאסית, במטרה להציע שירותים היברידיים שבהם CPU/GPU קלאסיים מטפלים בחלק מהבעיה והשבב הקוונטי מטפל בחלקים שמרוויחים מהאצה קוונטית fujitsu.com.

ספקיות הענן הגדולות גם בונות Quantum-as-a-Service עם ממשקי API היברידיים – לדוגמה, Azure Quantum של מיקרוסופט מאפשרת למפתחים להריץ קוד שמשתמש גם בחישוב הקלאסי של Azure וגם בחומרה קוונטית (משותפים או ממכשירי מחקר של מיקרוסופט עצמה) בזרימת עבודה אחת news.microsoft.com. החומרה שמאפשרת זאת כוללת בקרי IC מיוחדים שממשקים קיוביטים (לעיתים פועלים בטמפרטורות קריוגניות) וקישורים בעלי רוחב פס גבוה בין ארונות קוונטיים לשרתים קלאסיים. אפילו ברמת השבב, חוקרים בוחנים שילוב של רכיבים קלאסיים וקוונטיים באותו מארז. לדוגמה, חלק מהעיצובים הניסיוניים משלבים מערכי קיוביטים על אותו מצע עם מעגלי CMOS ששולטים/קוראים את אותם קיוביטים – למעשה "SoC קוונטי" בצורתו הראשונית.

זווית נוספת היא חברות שמשתמשות בשבבים קלאסיים כדי לדמות או לשפר אלגוריתמים קוונטיים. מפת הדרכים הקוונטית האחרונה של IBM (IBM פרסה מכשיר של 127 קיוביטים ב-2021 ושואפת ליותר מ-1,000 קיוביטים ב-2025) מדגישה אלקטרוניקה קלאסית משופרת לתיקון שגיאות ושליטה בקיוביטים, כמו IC ייעודי שיכול לפעול בטמפרטורות קריוגניות. ומעניין, אלגוריתמים בהשראה קוונטית שרצים על מחשבי-על קלאסיים גם משפיעים על עיצוב מעבדים – למשל, חלק משבבי HPC מותאמים למשימות אלגברה ליניארית שמדמות סימולציות של מעגלים קוונטיים.

המונח "מעגלים היברידיים קוונטיים-קלאסיים" תופס אם כן עידן מעבר: במקום לראות מחשבים קוונטיים כנפרדים לחלוטין, המיקוד כעת הוא במערכות משולבות. ב-2025, מחשוב קוונטי שמיש עדיין בחיתוליו, אך המאמצים ההיברידיים הללו מניחים תשתית. כדוגמה להפריה הדדית, מחקר של מיקרוסופט בקיוביטים טופולוגיים דרש פיתוח שבב קריוגני חדש (Majorana 1) עם חומרים אקזוטיים כמו אינדיום ארסניד ואלומיניום לאירוח קוואזי-חלקיקי Majorana news.microsoft.com – תזכורת לכך שקידום חומרה קוונטית דוחף לעיתים קרובות את גבולות ייצור השבבים ומדעי החומרים.

לסיכום, מחשוב קוונטי לא מחליף שבבים קלאסיים ב-2025, אלא מחזק אותם. התעשייה בוחנת כיצד לרתום מאיצים קוונטיים לצד מעבדים קלאסיים למשימות מסוימות (כמו סימולציית מולקולות לתרופות או בעיות אופטימיזציה). כל שחקן טכנולוגי גדול – IBM, גוגל, אינטל, מיקרוסופט, אמזון, וסטארטאפים כמו IonQ, Rigetti – פועל בגישה היברידית זו. ככל שהחומרה הקוונטית משתפרת לאט אך בהתמדה, האינטגרציה עם IC קלאסיים תלך ותעמיק. ניתן לצפות שמחשבי-על עתידיים יכללו מודולי "QPU" לצד CPU/GPU, וסוגי IC חדשים שידברו את שפת הקיוביטים. זהו טרנד ראשוני אך מרגש שעשוי להגדיר מחדש את עולם המחשוב בשנים הקרובות.

שחקנים מרכזיים, סטארטאפים ודינמיקת שוק ב-2025

ענקיות תעשייה ואסטרטגיות: נוף תעשיית המעגלים המשולבים ב-2025 מעוצב על ידי קומץ חברות ענק, שכל אחת מהן עושה מהלכים נועזים:

  • אינטל: ענקית ה-x86 הוותיקה נמצאת בעיצומו של מהפך עצום תחת הנהגה חדשה. לאחר מספר שנים של כישלונות בייצור ואפילו ההפסד השנתי הראשון שלה מאז 1986 (הפסד נקי של 18.8 מיליארד דולר ב-2024) reuters.com, אינטל שינתה את האסטרטגיה שלה. המנכ"ל הוותיק פט גלסינגר (מונה ב-2021) הוחלף ב-2025 על ידי Lip-Bu Tan, שלא בזבז זמן והחל לבחון מחדש את עסקי הפאונדרי של אינטל ואת מפת הדרכים של תהליכי הייצור reuters.com. ההבטחה הנועזת של אינטל ל"5 דורות ב-4 שנים" עומדת למבחן: תהליכי Intel 7 ו-Intel 4 כבר בייצור, Intel 3 קרוב, אך הקריטיים ביותר הם 20A ו-18A (ברמת 2 ננומטר) שמיועדים ל-2024–25. רויטרס דיווחה כי המנכ"ל החדש שוקל להעביר את המיקוד ל-14A (1.4 ננומטר) ולהפחית את הדגש על 18A, גם אם המשמעות היא מחיקת מיליארדים במו"פ, כדי להציע תהליך תחרותי יותר ללקוחות חיצוניים כמו אפל או NVIDIA reuters.com. אינטל יודעת שזכייה בלקוחות פאונדרי גדולים היא המפתח לעתידה, במיוחד כשהיא שואפת להפוך ליצרנית שבבים בחוזה מובילה על ידי פתיחת המפעלים שלה לייצור שבבים עבור חברות אחרות. לשם כך, התפתחות מפתיעה ב-2025 הייתה הצעת מיזם משותף אינטל-TSMC: לפי הדיווחים, TSMC הציעה להשתלט על תפעול המפעלים של אינטל (עם בעלות של עד 50%) ולהזמין את NVIDIA, AMD, Broadcom, Qualcomm ואחרים להשקיע במיזם reuters.com. התוכנית הזו – שלכאורה קיבלה עידוד מהממשל האמריקאי – נועדה להפוך את הייצור של אינטל על ידי מינוף המומחיות של TSMC, מבלי לוותר על בעלות מלאה (וושינגטון התעקשה שאינטל לא תהיה "בבעלות זרה מלאה") reuters.com. מיזם כזה היה בלתי נתפס לפני כמה שנים, אך הוא מדגים את הפרגמטיות החדשה של אינטל מול היתרון התהליכי של TSMC. בצד המוצרים, אינטל משקיעה במיוחד בתחומים כמו מעבדי GPU (באמצעות ARC ו-Ponte Vecchio לשרתים) ומאיצים ייעודיים (שבבי AI ורשתות), בעוד שעסק הליבה של מעבדי PC ושרתים שלה מתמודד מול AMD. אימוץ טכנולוגיית chiplets ואינטגרציה הטרוגנית (כפי שנראה ב-Meteor Lake ובמעבדי Arrow Lake הקרובים) הוא שינוי אסטרטגי נוסף. הודות לתמריצי ממשלה (חוק CHIPS), אינטל בונה מפעלים חדשים באוהיו, אריזונה וגרמניה, במטרה לזכות בהזמנות פאונדרי. יש תחושה ש2025–2026 הן שנים מכריעות עבור אינטל להשיב את ההובלה הטכנולוגית או להסתכן בפיגור נוסף – ומכאן הדחיפות בשותפויות ובארגון מחדש.
  • TSMC: חברת Taiwan Semiconductor Manufacturing נותרת המובילה הבלתי מעורערת בתחום הפאונדרי הייעודי, ומייצרת שבבים עבור אפל, AMD, NVIDIA, קוואלקום ועוד רבים אחרים. היכולות של TSMC בחזית הטכנולוגיה (הייתה הראשונה לייצור המוני ב-7 ננומטר, 5 ננומטר, 3 ננומטר) הפכו אותה לבלתי ניתנת להחלפה. ב-2025, TSMC מבצעת את העלאת קיבולת ה-3 ננומטר (N3) – שאפל אימצה במהירות עבור שבב ה-A17 שלה בסוף 2023 – ומתכוננת ל-2 ננומטר (N2) לייצור ניסיוני במחצית השנייה של 2025 en.wikipedia.org. היכולת שלה לספק באופן עקבי טכנולוגיות חדשות שמרה על נאמנות הלקוחות; לדוגמה, התפוקות של TSMC ב-3 ננומטר מדווחות כ-80–90%, הרבה מעל סמסונג, מה שסייע לה לזכות בכל נפח ה-3 ננומטר של אפל ts2.tech. האתגר של TSMC כעת הוא התרחבות גאוגרפית וקיבולת. חששות גיאופוליטיים לגבי טייוואן הובילו את TSMC להשקיע במפעלי ייצור מעבר לים: היא בונה מפעל ב-אריזונה (ארה"ב) ואחד ב-קומאמוטו (יפן). הפרויקט באריזונה, שתוכנן ל-2024–25, נתקל בעיכובים ועלויות חריגות, אך TSMC התחייבה להשקיע עוד 40 מיליארד דולר להקמת שני מפעלים שם (תהליך N4 ובהמשך N3) בעידוד חזק של לקוחות וממשלת ארה"ב. ב-2025 אף פורסם כי TSMC תגדיל את ההשקעה הכוללת בארה"ב ל-100 מיליארד דולר כדי לבנות שלושה מפעלים חדשים ושני מתקני אריזה מתקדמים בשנים הקרובות pr.tsmc.comfinance. yahoo.com. בדומה לכך, באירופה, TSMC ניהלה מגעים עם גרמניה לגבי מפעל (כנראה יתמקד בטכנולוגיות לרכב). ההתרחבויות הללו ממומנות בחלקן על ידי ממשלות מארחות; TSMC היסטורית שמרה את רוב הייצור בטייוואן ליעילות, כך שהמעבר לפריסה גלובלית הוא משמעותי. טכנולוגית, TSMC גם מגוונת – היא מציעה תהליכים ייעודיים (כמו N6RF לשבבי RF ל-5G, או N5A לרכב), ומשקיעה ב-אריזות תלת-ממד מתקדמות (SoIC ו-WoW – wafer-on-wafer טכניקות ערימה). הנהלת TSMC הביעה אופטימיות זהירה שמור'ס לואו יכול להימשך עם חידושים כמו טרנזיסטורי GAA ואולי ייצור תלת-ממדי, אך גם הזהירה שהעלויות עולות. פיננסית, TSMC נותרת חזקה מאוד, אף שהכנסותיה ב-2023 ירדו מעט בשל תיקון מלאים עולמי; הצמיחה ב-2024–2025 צפויה להתחדש, מונעת על ידי ביקוש ל-HPC ולרכב. בקיצור, TSMC ב-2025 היא החוליה המרכזית בשרשרת האספקה העולמית של שבבים, והמהלכים שלה – טכנולוגיים (כמו מפת הדרכים של תהליכים) או אסטרטגיים (כמו מיזם משותף אפשרי עם אינטל או מפעלים אזוריים) – משפיעים על כל התעשייה.
  • סמסונג אלקטרוניקס: סמסונג היא השחקנית השנייה ברמת הפאונדרי המתקדמת (בנוסף להיותה יצרנית שבבי זיכרון מובילה). היא קפצה קדימה עם 3 ננומטר GAAFET ב-2022, אך התקשתה בתפוקות ובנפח ייצור. ב-2025 סמסונג מתמקדת בשיפור תפוקת ה-3 ננומטר שלה (כדי למשוך לקוחות גדולים – היא אכן הבטיחה את שבב המובייל Tensor G5 של גוגל ב-3 ננומטר, לדוגמה ts2.tech) ודוחפת לעבר 2 ננומטר עד 2025–26 en.wikipedia.org. עם זאת, משקיפי תעשייה רואים בדרך כלל את סמסונג מעט מאחור ל-TSMC בהכנת תהליכים ts2.tech. סמסונג גם ייחודית בפורטפוליו המוצרים שלה – היא מתכננת בעצמה מעבדי מובייל (Exynos), חיישני תמונה ועוד, ובמקביל מייצרת עבור אחרים. ב-2025, חטיבת הלוגיקה של סמסונג קיבלה דחיפה מהזמנות מחשוב ביצועים גבוהים (כמו ייצור שבבים מסוימים של Nvidia, ייתכן שווריאנטים מסוימים של GPUs או הסכמי רישוי לאריזת שבבים). עסקי הזיכרון של סמסונג (DRAM/NAND) עברו תקופה חלשה, אך צפויים להתאושש עם הביקוש הגובר לזיכרון בעל רוחב פס גבוה שמונע על ידי AI (סמסונג מובילה ב-HBM ובזיכרון GDDR מהיר המשמש ב-GPU). יוזמה מרכזית של סמסונג היא אינטגרציה תלת-ממדית של זיכרון ולוגיקה – הם הדגימו ערימת DRAM ישירות על מעבדים כדי לשבור צווארי בקבוק בזיכרון. בנוסף, סמסונג ממשיכה להשקיע במחקר ופיתוח של חומרים חדשים, כגון MRAM וטרנזיסטורי GAA לדור שאחרי 2 ננומטר, ואפילו בוחנת חומרים דו-ממדיים בשיתופי פעולה אקדמיים. מבחינה מסחרית, Samsung Foundry שואפת להגדיל את בסיס הלקוחות שלה בקרב חברות פבלס; היא אחת מהאפשרויות הבודדות לחברות שרוצות טכנולוגיות מתקדמות מחוץ ל-TSMC. ממשלת דרום קוריאה גם תומכת בסמסונג (וב-SK Hynix) במאמץ לאומי להישאר מעצמת מוליכים למחצה, כולל תוכניות טאלנט ומו"פ משלה.
  • AMD: בשנת 2025, AMD קוצרת את פירות ההימורים שהניחה לפני שנים. היא ביססה את עצמה כמתחרה מובילה ל-x86 CPU מול אינטל, ומחזיקה בנתח משמעותי בשוקי המחשבים האישיים והשרתים עם משפחות Zen 4 ו-Zen 5, המנצלות את יתרונות הייצור של TSMC ואת המובילות של AMD בעיצוב שבבים מודולריים (chiplet). מעבדי השרתים EPYC של AMD (Genoa והלאה) כוללים עד 128 ליבות, ומציעים יחס ביצועים-לעלות שלעיתים עוקף את Xeon של אינטל, מה שמוביל ספקי ענן גדולים וארגונים לאמץ אותם. בצד ה-GPU, קבוצת Radeon של AMD נמצאת מאחור לעומת Nvidia בתחום ה-AI, אך החברה משקיעה רבות כדי לשנות זאת. תחת המנכ"לית ד"ר ליסה סו, AMD ביצעה רכישות אסטרטגיות – במיוחד את Xilinx (FPGAs) ב-2022 ואת Pensando (DPUs) – כדי להרחיב את הפורטפוליו שלה במחשוב אדפטיבי ורישות. עד 2025, אלו כבר נושאים פרי: AMD יכולה להציע CPUs, GPUs, FPGAs ו-SmartNICs, מערך סיליקון רחב למרכזי נתונים שמתקרב למה שיש לאינטל או Nvidia. המהלך הגדול של AMD ב-2025 הוא בתחום מאיצי AI: ה-MI300 APU שלה משלב CPUs ו-GPUs עם זיכרון HBM עצום במארז אחד, ומיועד למשימות HPC ואימון AI. היא המשיכה עם הכרזות על סדרות MI350 ו-MI400 של GPUs, בטענה לשיפור של עד פי 35 בביצועי AI Inference לעומת הדור הקודם finance.yahoo.com. למרות ש-NVIDIA עדיין שולטת בתודעת ה-AI, AMD מנצלת גישה אקוסיסטם פתוח (למשל שימוש בתוכנה פתוחה כמו ROCm והכרזה שמערכות חדשות מבוססות MI300 ישתמשו ב-תקני רישות פתוחים במקום NVLink קנייני reuters.com) כדי למצב את עצמה כחלופה ראויה לתשתיות AI בענן. השותפויות ההדוקות של AMD עם ספקי ענן גדולים (כמו ההכרזות עם מיקרוסופט לאינסטנסים של AI בענן, ועם חברות כמו Meta ו-Oracle שמופיעות באירועים שלה reuters.com) מראות שהיא מתקדמת. מבחינה פיננסית, AMD צמחה במהירות בשנים 2022–2024; 2025 עשויה להיות שטוחה יותר במחשבים אישיים (עקב שוק PC חלש), אך חזקה במרכזי נתונים ומערכות משובצות (Xilinx). אתגר אחד יהיה להבטיח אספקה מספקת מ-TSMC לצרכיה, כאשר הביקוש לשבבי AI בעולם כולו לוחץ על קיבולת הייצור. AMD ממשיכה גם להוביל ב-טכנולוגיות chiplet ו-3D die – יש לה תוכניות למעבדים היברידיים (שילוב ליבות ביצועים גבוהות ויעילות, אולי עם chiplets מצרכים שונים) ולשימוש נרחב יותר בזיכרון מטמון או אפילו לוגיקה בתלת-ממד. בסך הכול, AMD ב-2025 היא חברה שהשתנתה לחלוטין לעומת לפני עשור, ונחשבת ל-מנהיגת חדשנות במעבדים ולשחקנית רצינית בזירת המוליכים למחצה הרחבה.
  • NVIDIA: העלייה של NVIDIA הייתה אחת מהסיפורים המגדירים של התעשייה, וב-2025 היא הגיעה למעמד נדיר כחברת טריליון דולר על רקע הבום של הבינה המלאכותית. ענקית ה-GPU ה"ללא מפעלים" שולטת כמעט לחלוטין בשוק מאיצי ה-AI – ה-GPU-ים שלה, A100 ו-H100, הפכו לסוסי העבודה של מעבדות AI ברחבי העולם (עד כדי כך שהגבלות הייצוא של ארה"ב לסין כוונו במיוחד לשבבים אלו). ב-2025, הביקוש לחומרת ה-AI של NVIDIA כל כך גבוה שמפעילי מרכזי נתונים נאבקים על אספקה; ההכנסות של NVIDIA ממרכזי נתונים בשיא, ומנייתה זינקה בכ-3× בשנים 2023–24. המנכ"ל ג'נסן הואנג הציג חזון שבו המחשוב הקלאסי המבוסס CPU מפנה את מקומו ל"מחשוב מואץ", שבו GPU-ים ומאיצים מיוחדים מבצעים את עיקר העבודה, במיוחד עבור AI. בצד המוצר, ה-GPU-ים L40S ו-H100 של NVIDIA (המבוססים על תהליכי 4N ו-5N ב-TSMC) נשלחים בכמויות גדולות, והיא מתכוננת לדור הבא של ארכיטקטורת ה-"Blackwell" של GPU כנראה ל-2025–26, שמבטיחה קפיצה נוספת בביצועים. NVIDIA גם מרחיבה את אסטרטגיית הפלטפורמה שלה: היא מספקת לא רק שבבים אלא מערכות שלמות כמו שרתי DGX H100, ואפילו מחשבי-על ל-AI (כמו שירות DGX Cloud של NVIDIA עצמה). בנוסף, NVIDIA החלה להעניק רישוי ל-IP של ה-GPU שלה במקרים מסוימים ופתחה חלקים מערמת התוכנה שלה – למשל, ציינה שהיא עשויה לאפשר לאחרים לשלב את חיבור ה-NVLink שלה, כאשר הלחץ מהסטנדרטים הפתוחים גובר reuters.com. אולי המהלך האסטרטגי הבולט ביותר: NVIDIA הודיעה על תוכניות לייצר חלק מהשבבים שלה בארה"ב לראשונה. היא תשקיע פוטנציאלית מאות מיליארדים בשנים הקרובות בשותפות עם TSMC, Foxconn ואחרים לבניית מתקני אריזה וייצור מתקדמים באריזונה ובמקומות נוספים manufacturingdive.com. הואנג אמר "המנועים של תשתית ה-AI העולמית נבנים בארה"ב לראשונה", והדגיש עד כמה ייצור מקומי קריטי כדי לעמוד בביקוש הגובר לשבבי AI ולשפר את עמידות שרשרת האספקה manufacturingdive.com. זה תואם את יעדי המדיניות של ארה"ב (ומגיע כאשר הממשל האמריקאי דוחף לייצור מקומי באמצעות מכסים וסובסידיות). בתחום הרכב, פלטפורמת Drive של NVIDIA זכתה לאימוץ משמעותי, ובגיימינג בענן וגרפיקה מקצועית, NVIDIA עדיין מובילה. תחום נוסף אליו נכנסה NVIDIA הוא מעבדי CPU – ה-Grace שלה (מבוסס Arm) צפוי ללוות את ה-GPU-ים שלה במערכות HPC, מה שמרמז על תחרות פוטנציאלית עם יצרני CPU מסורתיים בשווקים מסוימים. לסיכום, NVIDIA ב-2025 היא משפיעה בצורה עצומה: היא מעצבת את כיוון מחשוב ה-AI, ומעצבת יחד חומרה ותוכנה. עם זאת, היא גם מתמודדת עם אתגרים: תחרות פוטנציאלית מסטארטאפים של שבבי AI ומענקיות אחרות, וסיכונים גיאופוליטיים (הגבלות ייצוא לסין, שהייתה 20–25% מהשוק ל-GPU-ים של מרכזי נתונים שלה). לעת עתה, עמדתה של NVIDIA נראית איתנה, כאשר הואנג מצהיר בביטחון כי על ידי חדשנות "לאורך כל הערימה" (סיליקון, מערכות, תוכנה), NVIDIA יכולה להמשיך להקדים את קצב התעשייה techcrunch.com.
  • קוואלקום: מלך שבבי הסמארטפונים מסתגל לשוק מגוון יותר. מערכות השבבים Snapdragon של קוואלקום עדיין מניעות חלק גדול ממכשירי האנדרואיד והטאבלטים, ומציעות שילוב של מעבד ביצועים גבוהים (ליבות Arm), מעבד גרפי Adreno, מעבד DSP ל-AI, מודם 5G, ISP ועוד – הכל על שבב אחד. בשנת 2025, סדרת Snapdragon 8 Gen החדשה של קוואלקום (מיוצרת ב-TSMC ב-4 ננומטר) שמה דגש על בינה מלאכותית במכשיר, והחברה הדגימה הרצת מודלים של שפה גדולה על טלפון. עם זאת, היקף הסמארטפונים בעולם הגיע לבשלות, ולכן קוואלקום התרחבה באגרסיביות אל תחום ה-רכב וה-IoT. עסקי הרכב שלה (Snapdragon Digital Chassis) מחזיקים בצבר הזמנות של מיליארדים, ומספקים שבבים לקישוריות, אינפוטיינמנט ו-ADAS ליצרניות רכב. לדוגמה, קוואלקום זכתה בעסקאות לאספקת מערכות ל-GM ול-BMW, והכנסותיה מתחום הרכב צומחות במהירות. בתחום ה-IoT והמכשירים הלבישים, קוואלקום מפתחת גרסאות של השבבים שלה למשקפי AR/VR, שעונים חכמים ויישומי IoT תעשייתיים. רגע מכונן היה רכישת Nuvia ב-2021 – סטארטאפ עם עיצובים מתקדמים לליבות Arm – וב-2025 צפויה קוואלקום להשיק ליבות מעבד Oryon מותאמות אישית (מבוססות טכנולוגיית Nuvia) לשיפור ביצועים במחשבים ניידים ולאתגר את שבבי ה-M של אפל ביעילות. אם תצליח, קוואלקום עשויה לשוב לזירת הלפטופים/PC בשנים 2024–2025 עם שבבים תחרותיים מבוססי Arm ל-Windows, ואולי לתפוס נישה בשוק הנשלט בידי אינטל ו-AMD. חזית נוספת היא RISC-V: קוואלקום ניסתה מיקרו-בקרים מבוססי RISC-V (למשל, בשבבי Bluetooth) כדי להפחית תלות ב-Arm עבור קניין רוחני מסוים. כמעצבת שבבים ללא מפעל מהגדולות בעולם (בהכנסות, קוואלקום דורגה #1 בין החברות הפאבלס העולמיות לפי semimedia.cc), מהלכיה האסטרטגיים זוכים למעקב הדוק. בשנת 2025 קוואלקום מתמודדת עם סכסוכי רישוי פטנטים (למשל, מאבקים משפטיים מתמשכים עם Arm סביב טכנולוגיית Nuvia) ותחרות גוברת בשוק שבבי האנדרואיד (MediaTek, Tensor של גוגל ועוד), אך הפורטפוליו הרחב שלה והובלתה בתחום האלחוטי (5G Advanced וכבר פועלת לקראת 6G) שומרים אותה בחזית. כלכלית, 2021 הייתה שנה מצוינת לקוואלקום בזכות ביקוש למכשירי 5G, לאחר מכן נרשמה האטה ב-2023; 2025 צפויה להתייצב עם חזרת המלאים לשגרה וצמיחה ברכב/IoT. לסיכום, קוואלקום ממנפת את ה-DNA האלחוטי והמומחיות ב-SoC שלה כדי להישאר כוח דומיננטי, גם כשהיא מחפשת מנועי צמיחה חדשים מעבר לשוק הסמארטפונים המגיע לרוויה.
  • אפל: למרות שאינה חברת מוליכים-למחצה מסורתית, ההשפעה של אפל על עולם המעגלים המשולבים (IC) היא עצומה. היא הלקוחה הגדולה ביותר של TSMC והציבה רף חדש למה שסיליקון ייעודי יכול להשיג במכשירי צריכה. ההחלטה של אפל לבנות בעצמה את שבבי M1/M2 למחשבי מק (ב-5 ננומטר ו-5 ננומטר+) הוכחה כהצלחה בזכות ביצועים מרשימים לכל וואט, ועד 2025 סביר שאפל תעבור ל-M3 (3 ננומטר) למק ול-A18 (3 ננומטר או 2 ננומטר) לאייפונים. האסטרטגיה של אפל לשילוב הדוק – תכנון שבבים פנימי שמותאם באופן מושלם לתוכנה שלה – מביאה למעבדים, גרפיקה ומאיצי בינה מלאכותית מובילים במדדים בטלפונים ובמחשבים. זה יוצר לחץ תחרותי על חברות כמו אינטל, AMD וקוואלקום (למעשה, ההצלחה של אפל דחפה את קוואלקום לרכוש את Nuvia כדי לחזק את ליבות Arm שלה למחשבים). אפל גם מתכננת שבבים נלווים בעצמה: מעבדי תמונה ייעודיים, Neural Engine, שבבי קישוריות (היא עובדת על מודם 5G משלה, אם כי הפרויקט מתעכב). ב-2025, יש שמועות שאפל מתכוננת להשיק שבבי מודם סלולריים פנימיים שיחליפו בסופו של דבר את אלו של קוואלקום באייפונים – מהלך מאתגר אך משנה משחק אם יצליח. בנוסף, הדחיפה של אפל ל-מציאות רבודה (עם קסדת Vision Pro) נשענת על שבבים ייעודיים כמו M2 ושבב R1 חדש למיזוג חיישנים. המהלכים הללו של אפל מדגישים מגמה רחבה יותר: חברות מערכות שמבצעות ורטיקליזציה לתכנון שבבים כדי לבדל את מוצריהן. הגודל והמשאבים של אפל הופכים אותה ליעילה במיוחד בכך, אך גם חברות כמו טסלה (שבבי נהיגה אוטונומית לרכב) ואמזון (מעבדי שרת Graviton) הולכות בעקבותיה בתחומן. מבחינת דינמיקת שוק, הרכישות הענקיות של אפל בתחום המוליכים-למחצה (עשרות מיליארדים בשנה) והשימוש הבלעדי שלה בקיבולת המתקדמת ביותר (לעיתים קרובות היא הראשונה לקבל את הטכנולוגיה החדשה ביותר של TSMC לשבבי אייפון) מעצבים את ההיצע והביקוש של כל התעשייה. לדוגמה, המעבר של אפל ל-3 ננומטר של TSMC ב-2023–2024 השאיר מעט קיבולת התחלתית לאחרים, והשפיע על לוחות הזמנים של מוצריהם. לכן, למרות שאפל לא מוכרת שבבים החוצה, היא שחקנית מפתח במגמות המוליכים-למחצה – אם זה בקידום חדשנות באריזה (למשל, ה-M1 Ultra עושה שימוש באינטרפוזר סיליקון שמחבר שני שבבי M1 Max, ומדגים אריזה מתקדמת) או פשוט בהעלאת ציפיות הצרכנים לביצועים. ב-2025, אפל כנראה תמשיך ברצף השיפורים השנתיים בשבבים שלה ואולי תפתיע בקטגוריות חדשות (אולי עוד לבישים או מכשירי AR) – הכל מונע על ידי מנוע תכנון השבבים שלה בראשות צוות השבבים המפורסם שלה (שרבים ממנו הם יוצאי PA-Semi וותיקי תעשייה אחרים).

פעילות סטארטאפים ושחקנים חדשים: החדשנות התוססת במוליכים-למחצה אינה מוגבלת לשחקנים הוותיקים. בשנים האחרונות זרמו מיליארדים בהון סיכון לסטארטאפים בתחום המוליכים-למחצה – רנסנס שמכונה לעיתים "בום הסטארטאפים בשבבים" (לאחר קיפאון ארוך בשנות ה-2000). עד 2025, חלק מהסטארטאפים הללו כבר מציגים תוצאות, בעוד אחרים מתמודדים עם המציאות הקשה של תחרות בענף עתיר הון. כמה תחומים בולטים שבהם מתמקדים סטארטאפים:

    מאיצי AI: זהו התחום הלוהט ביותר לסטארטאפים. חברות כמו Graphcore (בריטניה), SambaNova (ארה"ב), Cerebras (ארה"ב), Mythic (ארה"ב, מחשוב אנלוגי), Horizon Robotics (סין), Biren Technology (סין), ועוד רבות נוסדו כדי ליצור שבבים המותאמים לעומסי עבודה של AI. לכל אחת מהן גישה ארכיטקטונית ייחודית – Graphcore עם ה-IPU מרובה הליבות שלה וזיכרון עצום על השבב, Cerebras עם שבב בגודל וייפר שוברת שיאים (850,000 ליבות) לאימון רשתות גדולות במכה אחת, Mythic עם מחשוב אנלוגי בזיכרון, ועוד. עד 2025, חלק מהחברות מצאו נישות (למשל, Cerebras נמצאת בשימוש במעבדות מחקר מסוימות והטכנולוגיה שלה אף אומצה על ידי מיזמים משותפים במזרח התיכון), אך הדומיננטיות של NVIDIA היוותה מחסום גבוה. למרות זאת, סטארטאפים חדשים ממשיכים לצוץ, לעיתים קרובות עם מיקוד בנישות AI מסוימות כמו edge AI או צריכת חשמל נמוכה או AI ממוקד פרטיות. שחקן מעניין שנכנס ב-2025 הוא Tenstorrent (בהובלת אדריכל השבבים האגדי ג'ים קלר), שמעצבת שבבי AI/CPU היברידיים מבוססי RISC-V – היא מייצגת הפריה הדדית, שכן יש לה שיתופי פעולה עם חברות מבוססות (למשל, סמסונג תייצר חלק מהעיצובים שלה).
  • RISC-V וחומרה פתוחה: עליית RISC-V ISA האיצה את הקמתם של סטארטאפים רבים הבונים מעבדים ובקרים מבוססי RISC-V. חברות כמו SiFive (שהוקמה על ידי ממציאי RISC-V) מציעות IP לעיצוב וליבות בהתאמה אישית – עד 2025, ה-IP של SiFive נמצא בשימוש בשבבים לרכב, בקרים ל-IoT ואפילו במעבד הדור הבא של נאס"א לחלל. בסין, סטארטאפים מבוססי RISC-V התרבו (למשל StarFive, T-Head של עליבאבא, Nuclei, ועוד) כאשר המדינה מחפשת חלופות CPU מקומיות על רקע סנקציות eetimes.com. גם באירופה קמו מיזמי RISC-V, בחלקם בתמיכת יוזמות ממשלתיות לריבונות טכנולוגית eetimes.com. יש סטארטאפים המתמקדים במעבדי שרתים RISC-V בעלי ביצועים גבוהים (כמו Ventana ו-Esperanto בארה"ב) במטרה לאתגר את Arm ו-x86 במרכזי נתונים. אף שזה עדיין בשלב מוקדם, כמה שבבי RISC-V כבר יוצרו בטכנולוגיות מתקדמות, ומראים פוטנציאל בביצועים. תנועת החומרה בקוד פתוח מתרחבת מעבר למעבדים – יש סטארטאפים שמפתחים עיצובים פתוחים ל-GPU, מאיצי AI פתוחים ועוד, אם כי הם מתמודדים עם שאלת המוניטיזציה. עד 2025, ל-RISC-V International יש אלפי חברים (4,600+ נכון ל-2025) csis.org והמערכת האקולוגית מתבגרת עם תמיכה טובה יותר בתוכנה (הפצות לינוקס, אנדרואיד על RISC-V, ועוד) eetimes.comeetimes.com. הסטארטאפים כאן רוכבים לעיתים קרובות על גל של חדשנות ורוחות גב גיאופוליטיות, כאשר מדינות רבות מממנות את RISC-V כדי להפחית תלות ב-IP זר.
  • מחשוב אנלוגי ופוטוני: מחוץ לפרדיגמה הדיגיטלית, כמה סטארטאפים בוחנים מחשוב אנלוגי או אופטי עבור יתרונות ייחודיים. Mythic, שהוזכרה קודם, ניסתה הסקת מסקנות בינה מלאכותית מבוססת פלאש אנלוגי (אם כי נתקלה בקשיים כלכליים ב-2023). Lightmatter ו-LightOn הן סטארטאפים המשלבים פוטוניקה על שבב כדי להאיץ בינה מלאכותית עם חישובים במהירות האור – עד 2025 ל-Lightmatter יש מאיץ אופטי פעיל בשימוש במספר מעבדות. אלו הימורים בסיכון גבוה אך עם פוטנציאל לתגמול גבוה, שעדיין לא הפכו למיינסטרים, אך ממחישים את היצירתיות בזירת הסטארטאפים המתמודדת עם סוף חוק מור באמצעים לא מסורתיים. בדומה לכך, סטארטאפים בתחום המחשוב הקוונטי (כמו Rigetti, IonQ, D-Wave עבור קוונטום אנילינג וכו') נחשבים חלק מהאקוסיסטם המורחב של סטארטאפים בתחום המוליכים למחצה, אף שמכשיריהם פועלים בצורה שונה מאוד ממעגלים משולבים קלאסיים.
  • חדשנות בצ'יפלטים ו-IP: כמה חברות חדשות מתמקדות ב-תשתית סביב צ'יפלטים ואריזה מתקדמת. לדוגמה, Astera Labs (סטארטאפ מצליח לאחרונה) מפתחת פתרונות קישוריות PCIe/CXL דמויי צ'יפלטים שמסייעים לחבר מעבדים למאיצים ולזיכרון – "שבבי דבק" כאלה הופכים לחשובים יותר ויותר. סטארטאפים כמו SiFive (שהוזכר קודם) או חברות בת של Arm פועלים גם כספקי IP, דבר קריטי בעולם הצ'יפלטים (מוכרים תכנונים של ליבות שאחרים יכולים לשלב). ישנם מאמצים כמו קונסורציום Universal Chiplet Interconnect Express (UCIe) שמושך השתתפות של סטארטאפים לבניית אקוסיסטם של ממשקי die-to-die סטנדרטיים.

בסך הכול, סצנת הסטארטאפים במוליכים למחצה תוססת ב-2025, בתמיכת הון סיכון ומענקי ממשלה באזורים מסוימים. רבים מהסטארטאפים הללו נוסדו על ידי ותיקי תעשייה – למעשה, אחד הטרנדים הוא "הגירה מאינטל" שמזינה סטארטאפים. כאשר אינטל ואחרות עברו ארגון מחדש, מהנדסים מנוסים עזבו והקימו או הצטרפו לסטארטאפים, מה שכתבה אחת ב-EE Times כינתה "הצד החיובי של הגירה" – הזרמת כישרון למיזמים חדשים eetimes.com. כמובן, לא כולם ישרדו; עלות הייצור והדומיננטיות של שחקנים ותיקים בשווקים מסוימים (כמו בינה מלאכותית) מקשות על כך. אך גם כאשר סטארטאפים לא מדיחים את הגדולים, הם לעיתים קרובות מניעים רעיונות חדשים שמאומצים. לדוגמה, רעיון הצ'יפלטים הומצא על ידי חברות קטנות לפני עשרות שנים; כיום זהו סטנדרט תעשייתי. באותו אופן, RISC-V הפך מפרויקט אקדמי לכוח מסחרי בעיקר בזכות אנרגיית סטארטאפים ומאמץ קהילתי.

מבחינת דינמיקת שוק, נושא מרכזי נוסף הוא קונסולידציה מול התמחות. ראינו מיזוגי ענק בשנים 2020–2022 (NVIDIA ניסתה לרכוש את Arm; AMD רכשה את Xilinx; אינטל רכשה את Tower; וכו'). עד 2025, הרגולטורים נוקטים גישה קפדנית יותר כלפי מיזוגים גדולים, במיוחד כאלה עם השפעה גיאופוליטית (עסקת Arm-NVIDIA נחסמה ב-2022). ובכל זאת, יש בתעשייה כמה ענקיות דומיננטיות לצד זנב ארוך ומשגשג של חברות מתמחות. מאזן הכוחות מושפע מגישה לייצור (שטח ייצור הוא משאב מוגבל) ומגישה ללקוחות (נעילה לאקוסיסטם, תמיכה בתוכנה – למשל CUDA עבור NVIDIA, תאימות x86 עבור אינטל/AMD וכו').

אחד לא יכול להתעלם ממגזר ה-זיכרון גם בדינמיקת השוק: חברות כמו Samsung, SK Hynix, Micron – יצרניות הזיכרון הגדולות – עברו ירידה מחזורית אך כעת מתכוננות לביקוש חדש (AI דורש הרבה זיכרון). ב-2025, Micron מתחילה לדגום DRAM שיוצר ב-High-NA EUV עבור DDR5 ו-GDDR7 מהדור הבא, ו-SK Hynix מובילה בזיכרון HBM3 למאיצי AI. יש גם התלהבות סביב זיכרונות לא נדיפים מתקדמים (כמו MRAM, ReRAM) שמוצאים סוף סוף נישות ב-IoT או כזיכרון משובץ ב-SoCs.

כל הגורמים הללו תורמים למבנה תעשייה דינמי ב-2025: הזדמנויות ענק שמניעות צמיחה, אך גם תחרות עזה ומורכבויות גיאופוליטיות, אליהן נפנה כעת.

כוחות גיאופוליטיים ורגולטוריים שמעצבים את תעשיית המעגלים המשולבים

מגזר המעגלים המשולבים ב-2025 אינו קיים בוואקום – הוא שזור עמוק בפוליטיקה עולמית, חששות ביטחוניים ומדיניות סחר בינלאומית. למעשה, שבבים הפכו לחזית מרכזית ב-מתחי הטכנולוגיה בין ארה"ב לסין ולמוקד של מדיניות תעשייתית עולמית. התפתחויות עיקריות בתחום זה:

  • בקרות ייצוא והגבלות טכנולוגיה: החל מ-2022 והחמרה בין 2023–2025, ארצות הברית (בשותפות עם בעלות ברית כמו הולנד ויפן) הטילה בקרות ייצוא נרחבות על שבבים מתקדמים וציוד לסין. כללים אלה אוסרים על חברות למכור לסין את שבבי ה-AI המתקדמים ביותר שלהן (למשל, A100/H100 של NVIDIA, אלא אם כן מדובר בגרסה מוחלשת), ואוסרים ייצוא של מכונות ליתוגרפיה EUV וכלי ייצור מתקדמים נוספים. ב-2025, הממשל האמריקאי הרחיב עוד את ההגבלות כך שיכסו עוד שבבי AI ואפילו תוכנות תכנון שבבים מסוימות, בטענה לחששות ביטחוניים csis.org, sidley.com. צעדים אלה נועדו לעכב את התקדמותה של סין בטכנולוגיות מחשוב מתקדמות (במיוחד שבבים שיכולים לשמש ל-AI צבאי או מעקב). סין מחתה ונקטה צעדי נגד: לדוגמה, ב-2023 פתחה בבדיקת סייבר נגד Micron (יצרנית זיכרון אמריקאית גדולה) ובסופו של דבר אסרה על חלק ממוצרי Micron בתשתיות קריטיות – מה שנחשב כנקמה. סין גם החלה ב-2025 לחקור את NVIDIA וחברות אמריקאיות נוספות, מה שמאותת שהיא עשויה להשתמש בשוק העצום שלה כקלף מיקוח eetimes.com. בנוסף, סין ב-2023 הטילה בקרות ייצוא על חומרי גלם כמו גליום וגרמניום (המשמשים לייצור שבבים ואופטיקה) בתגובה לפעולות המערב, מה שממחיש את הקישוריות של שרשראות האספקה.
  • המאמץ של סין לעצמאות טכנולוגית: לאחר שנותקה מגישה לשבבים מתקדמים, סין הכפילה את מאמציה לבנות אקוסיסטם עצמאי של מוליכים למחצה. זה כולל השקעות מדינה גדולות (שלב III של "הקרן הגדולה" הושק עם מיליארדים לחברות שבבים מקומיות), סובסידיות לבניית מפעלים, ותמיכה בטכנולוגיות פתוחות כמו RISC-V כדי להחליף קניין רוחני זר. כפי שצוין, סין מאמצת את RISC-V במפורש "כדי להשיג עצמאות טכנולוגית ולהפחית תלות בארכיטקטורות שבשליטת המערב על רקע מתחים גיאופוליטיים" eetimes.com. יצרניות שבבים סיניות כמו SMIC דיווחו גם כי הצליחו לייצר תהליך ברמת 7 ננומטר (בערך) באמצעות כלים ישנים יותר (DUV) (כפי שנראה בפירוק שבב כריית ביטקוין של MinerVA מ-2022), אם כי ביכולת מוגבלת. עד 2025, ייתכן ש-SMIC תנסה אף תהליכים ברמת 5 ננומטר – אך כנראה עם תפוקות נמוכות. ממשלת סין קבעה יעדים שאפתניים (כמו 70% עצמאות בתחום המוליכים למחצה עד 2025, יעד שלא יושג, אך יש התקדמות בצמתים בוגרים יותר). Huawei, ספינת הדגל הטכנולוגית של סין, שנותקה מ-TSMC ב-2020, הפתיעה את המשקיפים ב-2023 כשהשיקה סמארטפון (Mate 60 Pro) עם שבב Kirin 9000s ברמת 7 ננומטר שיוצר על ידי SMIC – סימן לכך שסין תמצא דרכים להסתדר עם מה שיש לה, גם אם לא בהיקפים גדולים או ברמת קצה הטכנולוגיה. יש גם היבט של כוח אדם: סין החזירה אליה מהנדסים שלמדו בחו"ל ואף נטען כי עסקה בגניבת קניין רוחני כדי להאיץ את עקומת הלמידה שלה. מבחינה גיאופוליטית, זהו מרוץ בסיכון גבוה – מעין "מרוץ חימוש שבבים", שבו ארה"ב מנסה לשמור על יתרון של 2–3 דורות וסין מנסה להדביק את הפער או למצוא מסלולים טכנולוגיים חלופיים.
  • חוקי השבבים והחזרת הייצור למדינה: ארצות הברית העבירה את CHIPS and Science Act בשנת 2022, והקצתה 52 מיליארד דולר לסבסוד מו"פ וייצור שבבים מקומי. עד 2025, הדבר נושא פרי בדמות מספר פרויקטים חדשים של מפעלי ייצור: המפעלים של אינטל באוהיו (שניים בבנייה), המפעל של TSMC באריזונה (אם כי נדחה ל-2025–26 לייצור), ההתרחבות של סמסונג בטקסס, ו-GlobalFoundries ואחרים שמרחיבים קיבולת. חוק השבבים נחשב אכן, לפי מנכ"ל אינטל, "לחוק המדיניות התעשייתית המשמעותי ביותר בארה"ב מאז מלחמת העולם השנייה" mitsloan.mit.edu. פאט גלסינגר הדגיש את ההיגיון האסטרטגי: "הגיאופוליטיקה הוגדרה על ידי נפט ב-50 השנים האחרונות… שרשראות אספקה טכנולוגיות חשובות יותר לעתיד דיגיטלי מאשר נפט ל-50 השנים הבאות." mitsloan.mit.edu. במילים אחרות, הבטחת ייצור שבבים מקומי (או במדינות בעלות ברית) נתפסת כיום כחיונית לביטחון כלכלי ולאומי. בדומה לכך, אירופה השיקה את EU Chips Act (תוכנית של 43 מיליארד אירו) כדי להכפיל את חלקה בייצור השבבים העולמי עד 2030 ולתמוך במפעלי ייצור חדשים (כמו המגה-מפעל המתוכנן של אינטל במגדבורג, גרמניה ו-STMicro/GlobalFoundries בצרפת). עד 2025, אינטל ניהלה משא ומתן על הגדלת סובסידיות מגרמניה (כ-10 מיליארד אירו) כדי להמשיך עם המפעל שלה, מה שממחיש עד כמה המדינות מתחרות על משיכת השקעות היי-טק אלו. יפן הקימה את קונסורציום Rapidus (עם חברות כמו סוני, טויוטה והשקעה מהממשלה) לפיתוח מפעל 2 ננומטר עד 2027 בסיוע IBM – ניסיון נועז להחיות את ייצור הלוגיקה המתקדם ביפן. דרום קוריאה, שלא רצתה להישאר מאחור, הכריזה על תמריצים משלה להשקיע 450 מיליארד דולר בעשור כדי להישאר מעצמת שבבים (בעיקר דרך סמסונג ו-SK Hynix). בהודו, הממשלה הקצתה 10 מיליארד דולר לפרויקטים של ייצור שבבים במטרה להקים מפעל הודי (אם כי ניסיונות עם שותפים גלובליים נתקלו עד כה בקשיים). גל הפעילות המגובה על ידי מדינות מסמן שינוי משמעותי: לאחר עשרות שנים של גלובליזציה וריכוז מפעלים במזרח אסיה, הייצור מתפזר גאוגרפית – לאט, אך באופן ניכר – וממשלות פועלות באופן אקטיבי להרחבת הבסיס התעשייתי של השבבים.
  • בריתות סחר ו"פרנדשורינג": המתיחות הגיאופוליטית הובילה גם לבריתות חדשות המתמקדות בשבבים. ארה"ב, יפן, דרום קוריאה, טאיוואן (באופן לא רשמי) ואירופה מתאמות ביניהן בנושא בקרות ייצוא וגם בנושא אבטחת שרשרת האספקה. הולנד (בית ASML) ויפן (בית Nikon, Tokyo Electron וכו') הסכימו בתחילת 2023 ליישר קו עם מגבלות הייצוא האמריקאיות על ציוד שבבים לסין, ובכך למעשה לנתק את סין מהליטוגרפיה המתקדמת ביותר. יש גם דיון על ברית "Chip 4" (ארה"ב, טאיוואן, יפן, דרום קוריאה) לשיתוף פעולה בחוסן שרשרת האספקה. פרנדשורינג הוא המונח להעברת ייצור למדינות ידידותיות – אנו רואים את TSMC וסמסונג משקיעות בארה"ב (ידידה), ואולי גם באירופה, בעוד שחברות אמריקאיות ללא ייצור עצמי מנסות לגוון ולהפחית תלות באזור אחד. עם זאת, זה מורכב: טאיוואן עדיין מהווה את הציר המרכזי (מעל 90% מהשבבים המתקדמים מיוצרים על ידי TSMC בטאיוואן). העולם מודע היטב לכך שכל עימות שיכלול את טאיוואן יטרוף את כלכלת ההייטק העולמית. הסיכון הזה הוא למעשה אחד הגורמים המרכזיים לכך שחברות מסכימות לשלם יותר על ייצור מקומי כמעין פוליסת ביטוח. לדוגמה, אפל התחייבה לרכוש שבבים מהמפעל של TSMC באריזונה (למרות שבשלב ראשון הוא כנראה יהיה טכנולוגית מאחור לעומת המפעלים בטאיוואן) כאסטרטגיית גיוון. באותו אופן, הנוכחות של TSMC באריזונה וביפן היא בחלקה לבקשת לקוחות/ממשלות מרכזיים כדי להבטיח שחלק מהייצור יהיה על קרקע בטוחה יותר.
  • ביטחון לאומי ורגולציה: מדינות גם הידקו את הפיקוח על השקעות וקניין רוחני בתחום השבבים. ארה"ב שקלה מגבלות על אזרחים אמריקאים העובדים עבור חברות שבבים סיניות, והגבילה את גישת החברות הסיניות לתוכנות EDA וכלי תכנון שבבים הנשלטים בידי חברות אמריקאיות (Cadence, Synopsys). מנגד, סין מגבירה את התמיכה בתוכניות שילוב צבאי-אזרחי שלה לשימוש בטכנולוגיה מסחרית בביטחון. ב-2025, מדיניות בקרת הייצוא ממשיכה להתפתח: לדוגמה, משרד המסחר האמריקאי הציג כללים המגבילים אפילו ייצוא של משקלי מודלים מתקדמים של בינה מלאכותית למדינות מסוימות clearytradewatch.com, sidley.com – אינדיקציה לקשר בין בינה מלאכותית לשבבים במדיניות. הפיקוח הרגולטורי גבוה גם במיזוגים גדולים (כפי שצוין) וגם בנוהלי שרשרת האספקה – ממשלות דורשות שקיפות כדי למנוע מחסור פתאומי בשבבים קריטיים (כמו כאלה המשמשים בבריאות, תשתיות וכו').
  • השפעה על חברות: חברות שבבים אמריקאיות (NVIDIA, AMD, Lam Research, Applied Materials וכו') נאלצו להתאים תחזיות הכנסות בעקבות אובדן חלק מהעסקים בסין בשל מגבלות הייצוא. חלקן מגיבות על ידי יצירת גרסאות מופחתות ביצועים עבור סין (למשל, שבבי A800 ו-H800 של NVIDIA מחליפים את A100/H100 בשוק הסיני, עם הגבלת קישוריות כדי להישאר מתחת לסף הביצועים). חברות סיניות כמו Huawei ו-Alibaba ממהרות לעקוף את המגבלות (למשל, שימוש בארכיטקטורות chiplet עם מספר שבבים פשוטים יותר להשגת ביצועים גבוהים, או התמקדות באופטימיזציה של תוכנה כדי להפיק יותר ממעט). בינתיים, חברות טאיוואניות וקוריאניות מוצאות את עצמן במצב עדין, מנסות לעמוד בדרישות בעלות ברית מבלי להרחיק לחלוטין את השוק הסיני העצום. באירופה, יצרניות רכב ואחרות תומכות באופן פעיל ביוזמות שבבים מקומיות לאחר שראו עד כמה הן תלויות באסיה לשבבים.

בעצם, תעשיית השבבים של 2025 עוסקת לא פחות בגיאופוליטיקה מאשר בטכנולוגיה. הביטוי "מלחמת השבבים" נכנס לשימוש רווח, ומשקף את העובדה שהובלה בתחום השבבים הפכה לפרס עליון למדינות. השנים הקרובות יחשפו עד כמה המדיניות הזו יעילה: האם נראה פיצול של אקוסיסטמות טכנולוגיות (מערביות וסיניות) עם סטנדרטים לא תואמים ושרשראות אספקה נפרדות? או ששיתוף הפעולה הגלובלי יימשך למרות המתחים? עד כה, המגמה היא ניתוק חלקי – סין משקיעה משאבים בעצמאות, המערב מגביל את גישת סין לחזית החדשנות, וכל הצדדים משקיעים רבות כדי לא להישאר מאחור. הדבר היחיד שוודאי הוא ששבבים הוכרו כ"נכסים אסטרטגיים". כפי שאמר פאט גלסינגר, "יש תלות עולמית יוצאת דופן באזור קטן מאוד של כדור הארץ… זה לא טוב לעמידות שרשראות האספקה שלנו." mitsloan.mit.edu לכן, שלל הפעולות לאיזון מחדש של התלות הזו.

סיכום ומבט לעתיד

לסיכום, 2025 היא שנת ציון דרך עבור מעגלים משולבים, המאופיינת בהתקדמות טכנולוגית יוצאת דופן וחשיבות אסטרטגית מוגברת. בצד הטכנולוגי, אנו עדים לחוק מור שמומצא מחדש – באמצעות chiplets, ערימה תלת-ממדית, עיצובים חדשניים של טרנזיסטורים וארכיטקטורות ייעודיות שמביאות קפיצות ביכולות AI ומחשוב. השבבים מהירים ומותאמים יותר מאי פעם, ומאפשרים פריצות דרך מ-AI גנרטיבי ועד רכבים אוטונומיים. במקביל, תעשיית השבבים הפכה למוקד של תחרות ושיתוף פעולה גלובליים. ממשלות משקיעות בשבבים כפי שלא עשו מעולם, מתוך הבנה שהובלה בתחום השבבים היא בסיס לעוצמה כלכלית וצבאית בעולם המודרני. זה הוביל לשותפויות חדשות (וליריבויות) ומשנה את מיקום ואופן ייצור השבבים.

עבור הציבור הרחב, המשמעויות של ההתפתחויות הללו הן עמוקות: מעגלים משולבים חזקים ויעילים יותר פירושם מכשירי צריכה טובים יותר, תשתיות חכמות יותר, ואפשרויות חדשות (כמו עוזרי בינה מלאכותית או מכוניות אוטונומיות בטוחות יותר) שהופכות למציאות. אך אנו גם נכנסים לעידן שבו שבבים נמצאים בכותרות – בין אם מדובר במחסור שמשפיע על מחירי רכבים או מדינות שמתחרות ביכולות סיליקון. הביטוי "הסיליקון הוא הנפט החדש" נכון mitsloan.mit.edu, וממחיש עד כמה הרכיבים הזעירים הללו הפכו להיות קריטיים לכל תחום בחיים ובגיאופוליטיקה.

מבט לעתיד מראה כי המגמה היא של חדשנות מתמשכת. שאר שנות ה-2020 כנראה יביאו תהליכים ברמת 1 ננומטר (בסביבות 2027–2028) en.wikipedia.org, ייתכן שגם את מאיצי הקוונטים המסחריים הראשונים שישולבו במרכזי נתונים, ואימוץ נרחב של בינה מלאכותית במכשירי קצה הודות למעגלים משולבים מתקדמים. ייתכן שנראה גם את פירות המחקר של היום בחומרים חדשים ופרדיגמות מחשוב שמתחילים להתממש במוצרים. עד 2030, התעשייה שואפת להגיע ל-טריליון דולר הכנסות שנתיות deloitte.com, מונעת על ידי ביקוש מבינה מלאכותית, רכב, IoT ועוד. אם 2025 הוא אינדיקציה כלשהי, הדרך אל היעד הזה תהיה מלאה גם ב-פריצות דרך טכנולוגיות מסחררות וגם ב-מהלכים אסטרטגיים מורכבים.

דבר אחד בטוח: המעגלים המשולבים נשארים בלב המהפכה הדיגיטלית, וההתרגשות – והתלות – של העולם בהם מעולם לא הייתה גדולה יותר. כל שבב או תהליך חדש הוא לא רק הישג הנדסי; הוא אבן בניין לחדשנות עתידית וצעד במרוץ עולמי. עם סיום הסקירה הזו, ברור שתעשיית המעגלים המשולבים ב-2025 היא דינמית מתמיד, באמת בצומת של מדע, עסקים וגיאופוליטיקה – מהפכת סיליקון שמעצבת את עולמנו בכל רמה.

מקורות:

semimedia.cc, deloitte.com, techcrunch.com, techcrunch.com, reuters.com, reuters.com, reuters.com, reuters.com, mitsloan.mit.edu, mitsloan.mit.edu, ts2.tech, ts2.tech, community.cadence.com, community.cadence.com, microchipusa.com, eetimes.com

AI, Chiplets, and the Future of Semiconductors

Latest Posts

Don't Miss

Smart Fabrics with Sensors: The Wearable Tech Revolution You Didn’t See Coming

בדים חכמים עם חיישנים: מהפכת הטכנולוגיה הלבישה שלא ראיתם מגיעה

שוק הבדים החכמים העולמי עמד על כ-6–8 מיליארד דולר ב-2024
Digital Twins: How Virtual Replicas Are Transforming Our World in 2025

תאומים דיגיטליים: כיצד העתקים וירטואליים משנים את עולמנו ב-2025

שוק התאומים הדיגיטליים צפוי להגיע ל-73.5 מיליארד דולר ברחבי העולם