- 2024-ben a globális félvezetőeladások meghaladták a 600 milliárd dollárt, és 2030-ra évente akár az 1 billió dollárt is elérhetik.
- Az Apple M1 Ultra egyetlen chipen 114 milliárd tranzisztort tartalmaz.
- Az ASML az egyetlen EUV litográfiai szkennerek gyártója, minden egyes gép körülbelül 180 tonnát nyom és több mint 300 millió dollárba kerül.
- A TSMC 2023-ban a globális bérgyártói piac mintegy 55%-át birtokolta, a Samsung körülbelül 15–20%-ot, és csak Tajvan adta a világ legfejlettebb (<10nm) chipgyártási kapacitásának mintegy 92%-át.
- A három legnagyobb elektronikai tervezőautomatizálási (EDA) beszállító—Synopsys, Cadence és Siemens EDA—uralja a tranzisztorok milliárdjainak elrendezésére használt tervezőszoftverek piacát.
- A 2021-es chiphiány becslések szerint 210 milliárd dollár veszteséget okozott az autóipari eladásokban.
- Az amerikai CHIPS törvény (2022) 52,7 milliárd dollár közvetlen támogatást irányoz elő a hazai chipgyártásra, valamint 25%-os beruházási adókedvezményt.
- Az Európai Chips Törvény (2023) célja, hogy 43 milliárd eurót mozgósítson, és 2030-ra megduplázza Európa chipgyártási részesedését 20%-ra.
- A globális chipgyártás 2024-ben mintegy 190 millió tonna CO2-egyenértéket bocsátott ki, és egyetlen modern gyár folyamatosan körülbelül 100 MW áramot fogyaszt.
- 2024 közepén az amerikai félvezetőipari munkaerő 55%-a 45 év feletti volt, ami közelgő munkaerőhiányra utal.
A félvezetők – ezek az apró szilíciumchipek – a modern elektronika agyai, amelyek mindenben megtalálhatók az okostelefonoktól és autóktól kezdve az adatközpontokon át a vadászgépekig. 2024-ben a globális félvezetőeladások meghaladták a 600 milliárd dollárt, és 2030-ra elérhetik az 1 billió dollárt, ami jól mutatja, mennyire kulcsfontosságúvá váltak a chipek a világgazdaság számára deloitte.com, blog.veolianorthamerica.com. Ezek a mikrochipek dollártrilliók értékű végtermékeket és szolgáltatásokat tesznek lehetővé, és digitális életünk rejtett alapját képezik steveblank.com. Az elmúlt két évben azonban a félvezetőgyártás magas tétű színtérré vált az innováció és a geopolitikai feszültségek terén. A világjárvány okozta chiphiány megmutatta, mennyire sérülékeny lehet az ellátási lánc: gyárak álltak le, az árak emelkedtek. Ugyanakkor az országok versenyt futnak a hazai chipgyártás fellendítéséért gazdasági és biztonsági okokból, több száz milliárdot fektetve új gyárakba (chipgyártó üzemekbe), és ezzel globális „chip-háborút” indítva.
Ez a jelentés egy átfogó, naprakész áttekintést nyújt a félvezetők világáról – elmagyarázza, mik azok a félvezetők és hogyan működnek, hogyan gyártják a chipeket a kezdetektől a végéig, kik a főbb szereplők (vállalatok és országok) az egyes szakaszokban, és hol találhatók a sebezhetőségek az ellátási láncban. Emellett belemerülünk a legkorszerűbb technológiákba és anyagokba, amelyek lehetővé teszik a modern chipek létrejöttét, a legújabb innovációkba és K+F trendekbe, valamint az iparágat átalakító geopolitikai és szakpolitikai harcokba. Végül megvizsgáljuk a félvezető szektor gazdasági hatását, annak környezeti lábnyomát, és a közelgő munkaerőpiaci kihívásokat. A legfrissebb szakértői meglátásoktól a 2024-2025-ös kulcsfontosságú fejleményekig ez a jelentés rávilágít arra, miért a félvezetőgyártás az egyik legfontosabb – és leghevesebben vitatott – terület a világon napjainkban.Mik azok a félvezetők, és hogyan működnek?
A félvezetők olyan anyagok (például szilícium), amelyek különböző körülmények között elektromos vezetőként vagy szigetelőként viselkedhetnek, így tökéletesek az elektromos áram szabályozására techtarget.com. Gyakorlati értelemben egy félvezető eszköz (chip) lényegében egy apró elektromos kapcsolók (tranzisztorok) hálózata, amelyeket elektromos jelekkel lehet ki- vagy bekapcsolni. A modern integrált áramkörök milliárdnyi ilyen tranzisztorkapcsolót zsúfolnak egy körömnyi chipre, lehetővé téve az összetett számításokat és jelfeldolgozást. „Egyszerűen fogalmazva, a félvezető egy elektromos kapcsoló, amelyet árammal lehet ki- és bekapcsolni. A legtöbb modern technológia ezekből a parányi, összekapcsolt kapcsolókból milliókból áll,” magyarázza egy TechTarget mérnöki összefoglaló techtarget.com.
Mivel pontosan tudják szabályozni az áram folyását, a félvezető chipek az elektronikus eszközök „agya” vagy „memóriája” szerepét töltik be. A logikai chipek (mint a CPU-k, GPU-k, AI gyorsítók) adatokat dolgoznak fel és döntenek, a memóriacsipek információt tárolnak, az analóg/teljesítménychipek pedig kapcsolatot teremtenek a fizikai világgal. A tiszta félvezető kristályok apró szennyezőkkel való adalékolásával olyan alkatrészeket hoznak létre, mint a tranzisztorok, diódák és integrált áramkörök, amelyek a kvantumfizikát kihasználva kapcsolják és erősítik az elektromos jeleket techtarget.com. Az eredmény: a félvezetők képesek aritmetikai műveletek végrehajtására, bináris adatok tárolására, valamint szenzorokkal/aktuátorokkal való kapcsolattartásra – ezek a képességek szinte minden modern technológia alapját képezik, a digitális kommunikációtól a háztartási gépeken és orvosi berendezéseken átsteveblank.com.
A mai chipek lenyűgöző mérnöki teljesítmények. Egy élvonalbeli processzor akár több tízmilliárd tranzisztort is tartalmazhat, amelyek szilíciumba vannak vésve, néhány nanométeres (atomok méretével összemérhető) jellemzőkkel. Például az Apple M1 Ultra chipje 114 milliárd tranzisztort zsúfol egyetlen szilíciumlapkára bipartisanpolicy.org. Ezek a tranzisztorok gigahertzes sebességgel kapcsolnak be és ki, lehetővé téve, hogy az eszköz másodpercenként milliárdnyi műveletet hajtson végre. Röviden: a félvezetők a modern világ alapvető technológiájává váltak, amelyek mindent működtetnek az okostelefonoktól és autóktól kezdve a felhőalapú szervereken át az ipari gépekig. Gyakran mondják, hogy „a félvezetők az új olaj” – egy alapvető erőforrás, amelyre nemzetek és iparágak támaszkodnak a fejlődés és a biztonság érdekében.
Hogyan készülnek a chipek: A félvezetőgyártás folyamata
Egy mikrochip elkészítése az egyik legösszetettebb gyártási folyamat, amit valaha kitaláltak – „egy olyan üzletág, amely atomonként manipulálja az anyagokat” olyan gyárakban, amelyek költsége több tízmilliárd dollár steveblank.com. Minden a nyersanyagokkal kezdődik, és a felhasználásra kész, csomagolt chipekkel végződik. Íme egy áttekintés a teljes chipgyártási folyamatról:
- Nyers szilíciumtól a lapkáig: A közönséges homokot (szilícium-dioxidot) tiszta szilíciummá finomítják. Egy szilíciumkristály-rudat növesztenek, majd vékony lapkákra (kör alakú lemezekre) vágják, amelyek ezernyi chipet tartalmaznak majd bipartisanpolicy.org. Minden lapka fényesnek és simának tűnik, de mikroszkopikus szinten tökéletes szilíciumatomi rácsot alkot.
- Előoldali gyártás: Az igazi varázslat a tisztaszobai „fab”-ban történik, ahol az összetett áramkörök minden egyes lapkán kialakításra kerülnek. A chipgyártás százakra rúgó precíz lépést foglal magában, de a főbb szakaszok a következők: ultravékony anyagrétegek lerakása a lapkára; fotoresziszt bevonat; fotolitográfia (fókuszált fény használata apró minták maszkon keresztüli beégetésére a lapkára, hasonlóan egy áramköri tervrajz nyomtatásához); maratás és adalékolás (anyag eltávolítása és ionok beültetése a tranzisztorok és összeköttetések kialakításához); és ezeknek a lépéseknek rétegről rétegre történő ismétlése bipartisanpolicy.org. A tranzisztorok – lényegében a be/ki kapcsolók – ezekből a mintázott rétegekből épülnek fel, amelyek mikroszkopikus elektromos útvonalakat hoznak létre. Ez a nanométer-méretű gyártás – a modern chipek akár 50+ réteg áramkört és akár 3 nm (nanométer) széles jellemzőket is tartalmazhatnak. Minden lépést atomi pontossággal kell vezérelni; egy porszem vagy apró elcsúszás tönkreteheti a chipet.
- Hátsó vég és csomagolás: Miután a front-end gyártás befejeződött, a kész ostya sok egyedi chipet (díj) tartalmazó rácsot alkot. Az ostyát különálló chipekre vágják, majd minden egyes chipet becsomagolnak. A csomagolás során a törékeny chipet egy hordozóra helyezik, apró arany vagy réz érintkezőkhöz vezetékelik, majd (gyakran védőgyantával és hőelvezetővel) burkolják, hogy kezelhető legyen és integrálható legyen áramköri lapokra bipartisanpolicy.org. A csomagolt chip az, amit a telefonod alaplapjára vagy a PC áramköri lapjára forrasztanak. A chipek ezen a szakaszon szigorú tesztelésen is átesnek, hogy biztosítsák a megfelelő működést.
A fenti egyszerűsített összefoglaló ellenére a fejlett félvezetők gyártása rendkívül összetett, több hónapos folyamat. Egy élvonalbeli chip akár több mint 1 000 gyártási lépést és rendkívül precíz berendezéseket igényelhet. Például a legújabb fotolitográfiai gépek (amelyek ultraibolya fénnyel vetítik a körvonalakat) darabonként több mint 300 millió dollárba kerülhetnek, és egy ilyen gép „annyi áramot fogyaszt, mint ezer háztartás” a Bloomberg szerint bipartisanpolicy.org. Ezek az eszközök extrém ultraibolya (EUV) fényt használnak az ultraszűk mintázatok kialakításához, és annyira kifinomultak, hogy jelenleg csak egyetlen cég (az ASML Hollandiában) gyártja őket patentpc.com. A tőkeköltség óriási: egy új chipgyár felépítése több mint 3 évig tart és 10 milliárd dollárnál is többe kerülhetbipartisanpolicy.org. Az olyan vezető cégek, mint a TSMC, a Samsung és az Intel évente több tízmilliárd dollárt költenek a gyárak bővítésére és felszerelésére.
Mindezen erőfeszítések jutalma lenyűgöző technológia: egyetlen 12 hüvelykes ostya, miután teljesen feldolgozták, százával tartalmazhat kész chipeket, amelyek összesen billiónyi tranzisztort tartalmaznaksteveblank.com. Minden chipet letesztelnek, és telepítés után másodpercenként milliárdnyi számítást képesek végezni. A modern chipek apró mérete és nagy sűrűsége hihetetlen teljesítményt biztosít számukra. Ahogy egy iparági blog megjegyezte, az a tisztaszobában lévő ostya „két billió tranzisztort tartalmaz”, amelyeket atomi szintű precizitással gyártottak lesteveblank.com. Ez a gyártási tudás – amelyet évtizedeken át folyamatosan tökéletesítettek – teszi lehetővé, hogy ma ilyen erős és megfizethető elektronikai eszközeink legyenek.
A félvezető ellátási lánc főbb szereplői (vállalatok és országok)
A félvezetőgyártást nem egyetlen cég típusa végzi; ez egy összetett ökoszisztéma vállalatokból, amelyek mindegyike a különböző szakaszokra specializálódott. Ha bepillantunk az ellátási láncba, egy több száz, rendkívül specializált szereplőből álló globális hálózatot találunk, akik mind egymásra vannak utalva steveblank.com. Íme a főbb szereplői kategóriák és a domináns cégek:- Chiptervezők (Fabless cégek): Ezek a vállalatok félvezető chipeket terveznek, de a tényleges gyártást kiszervezik. Ők készítik a chipek tervrajzait és szellemi tulajdonát. A világ legismertebb chipmárkái közül sok – köztük Apple, NVIDIA, Qualcomm, AMD, Broadcom – fabless tervező. Az USA ebben a szegmensben erős vezető (a fabless cégek ~50%-ának ad otthont patentpc.com), de Európában is vannak ilyen cégek (pl. ARM az Egyesült Királyságban chip IP magokhoz steveblank.com) és Ázsiában is. A fabless cégek a K+F-re és a chiparchitektúra innovációjára koncentrálnak, majd szerződéses gyártókat bíznak meg a chipek előállításával.
- Integrált eszközgyártók (IDM-ek): Ezek az olyan óriások, mint Intel, Samsung és Micron, amelyek saját maguk tervezik és gyártják a chipeket. Az Intel (USA) történelmileg vezető volt a mikroprocesszorok tervezésében/gyártásában PC-khez és szerverekhez, a Samsung (Dél-Korea) és a Micron (USA) pedig főként a memóriacsipek terén. Az IDM-ek saját gyáraikat irányítják, és saját termékeikhez (néha mások számára is) gyártanak chipeket. Az elmúlt évtizedekben azonban a hatékonyság érdekében egyre inkább a fabless-foundry modell felé tolódott a trend.
- Félvezető öntödék (bérgyártók): Az öntödék azok a chipgyárak, amelyek ténylegesen legyártják a chipeket (fabless ügyfelek vagy olyan IDMk számára, amelyek kiszervezik a gyártás egy részét). Ezt a szegmenst ázsiai cégek uralják. A tajvani TSMC (Taiwan Semiconductor Manufacturing Co.) a vitathatatlan vezető, egyedül ~55%-át ellenőrzi a globális öntödei piacnak 2023-ban patentpc.com szerint. A TSMC a fő gyártója többek között az Apple, AMD, NVIDIA és sok más cég számára, különösen a legfejlettebb chipek (5nm, 3nm csíkszélesség) esetén. A Samsung Dél-Koreában a második legnagyobb öntöde (kb. 15–20%-os részesedéssel) patentpc.com, szintén fejlett logikai chipeket gyártva. További jelentős öntödék: GlobalFoundries (USA, középkategóriás csíkszélességre fókuszál), UMC (Tajvan), és SMIC (Kína legnagyobb öntödéje). Figyelemre méltó, hogy Tajvan és Dél-Korea együtt adja a legnagyobb részét a csúcstechnológiás chipgyártásnak – valójában a világ legfejlettebb (<10nm) chipgyártó kapacitásának kb. 92%-a csak Tajvanon található, egy 2023-as amerikai kormányzati jelentés szerint usitc.gov. Ez jól mutatja, mennyire koncentrálódott a chipgyártás néhány helyszínre.
- Memóriachip-gyártók: A memória egy speciális, de létfontosságú alágazat (RAM, flash tárolás stb. miatt). Ezt az IDMk uralják, mint a Samsung és SK Hynix (mindkettő dél-koreai), valamint a Micron (USA). Például a Samsung és az SK Hynix együtt a világ DRAM memóriacsipjeinek több mint 70%-át gyártja patentpc.com. Ezek a cégek jelentős összegeket fektetnek DRAM és NAND flash memória gyártásába, gyakran hatalmas létesítményekben Dél-Koreában, Tajvanon, az USA-ban, Japánban és Kínában.
- Félvezető-berendezés beszállítók: Ezek a cégek építik a gyártóeszközöket és gépeket a chipgyártáshoz – önmagában is egy rendkívül kritikus, csúcstechnológiás iparág. Vezető berendezésgyártók: ASML (Hollandia), amely kizárólag EUV litográfiai rendszereket gyárt, amelyek elengedhetetlenek a 7nm-es és annál kisebb chipekhez patentpc.com; Applied Materials, Lam Research, KLA (mind USA), amelyek lerakó, maró és ellenőrző berendezéseket szállítanak; Tokyo Electron és Nikon (Japán) litográfiai és maróeszközök terén; és mások. Ezek nélkül a csúcstechnológiás gépek nélkül a gyárak nem működhetnek. Az USA, Japán és Hollandia történelmileg uralják a félvezető-berendezések piacát – ezért is váltak ezekre az eszközökre vonatkozó exportkorlátozások geopolitikai kérdéssé (erről később bővebben).
- Anyag- és vegyianyag-beszállítók: A chipgyártás is egy összetett, speciális anyagokból álló ellátási láncra támaszkodik – az ultratiszta szilícium ostyáktól a különleges vegyszerekig és gázokig. Néhány példa: Shin-Etsu Handotai és SUMCO (Japán) a világ szilícium ostyáinak jelentős részét állítják elő. JSR, Tokyo Ohka Kogyo (Japán) és mások szállítják a fotoreziszteket (fényérzékeny vegyszerek) steveblank.com. Ipari gázokat előállító cégek, mint a Linde, Air Liquide biztosítják a több mint 100 féle, gyárakban használt gázt (pl. fluor, neon, argon) steveblank.com. E kritikus anyagok nagy része Japánban, Kínában és Európában koncentrálódik. Például Japán régóta vezető szerepet tölt be a félvezető vegyszerek terén, míg Kína sok, chipekhez használt ritka ásványt finomít (mint a gallium és germánium). Ez azt jelenti, hogy azoknak az országoknak, amelyek uralják a nyersanyagokat (Kína, Oroszország stb.), illetve amelyek kiemelkednek a speciális vegyszerekben (Japán), kiemelt szerepük van az ellátási láncban.
- EDA és IP szolgáltatók: A gyártás előtt a chipeket meg kell tervezni és ellenőrizni. Elektronikus tervezőautomatizálási (EDA) szoftvereszközöket lényegében három nagyvállalat biztosít – Synopsys, Cadence (mindkettő amerikai) és Siemens EDA (Mentor Graphics) – mind amerikai vagy amerikai szövetséges cégek steveblank.com. Gyakorlatilag monopóliumuk van a mérnökök által használt, bonyolult szoftvereken, amelyekkel több milliárd tranzisztort terveznek meg és szimulációkat futtatnak. Emellett az alapvető terveket (például CPU magokat) gyakran IP-cégektől licencelik, mint például az ARM (Egyesült Királyság), amely a legtöbb mobilprocesszorban használt tervrajzokat biztosítja steveblank.com. Ezek a felsőbb szintű szereplők kulcsfontosságúak az egész iparág számára.
- Külső félvezető összeszerelés és tesztelés (OSAT): Miután az ostyák elkészültek, speciális alvállalkozók végzik a chipek tokozását és tesztelését. A legnagyobb OSAT cégek közé tartozik az ASE Technology Holding (Tajvan) – a világ legnagyobb tokozója – és az Amkor (USA), valamint sokan Kínában, Malajziában és Vietnámban. Valójában Délkelet-Ázsia chip-összeszerelési központtá vált: például Malajzia a világ chip tokozási és tesztelési szolgáltatásainak mintegy 13%-át végzi patentpc.com, és Vietnám OSAT szektora is gyorsan növekszik patentpc.com. Ezek a szakaszok munkaerő-igényesek, ezért a vállalatok gyakran olyan országokban végzik, ahol képzett munkaerő és alacsonyabb költségek állnak rendelkezésre.
Országok szempontjából: különböző nemzetek a lánc különböző szakaszaira specializálódtak. Tajvan a chipgyártás szupersztárja, különösen a fejlett logikai chipek terén – egyedül 2023-ban ~65%-os piaci részesedéssel bírt a bérgyártásban patentpc.com, és nélkülözhetetlen a csúcstechnológiás chipekhez (a TSMC dominanciájával). Dél-Korea vezető a memóriacsipek és a bérgyártás terén is (Samsung), a globális chiptermelés ~20%-át adja patentpc.com. Az Egyesült Államok továbbra is vezető a chiptervezés terén (számos fabless óriás és IDM, mint az Intel otthona), valamint bizonyos gyártóberendezésekben, de az USA tényleges gyártási részesedése 1990-ben 37%-ról 2023-ra körülbelül 12%-ra csökkent patentpc.com, mivel a termelés Ázsiába vándorolt. Ezt a visszaesést próbálja most az amerikai kormány ösztönzőkkel visszafordítani (erről bővebben lejjebb). Kína különleges eset – a világ legnagyobb chipfogyasztója (az elektronikai termékek összeszerelése révén), és sok érettebb node-os chipet és csomagolást gyárt, de a legfejlettebb chipek terén importokra támaszkodik. 2023-ban Kína önellátottsága a félvezetők terén mindössze ~16% volt patentpc.com, és 2022-ben elképesztő 350 milliárd dollárt költött import chipekre patentpc.com. Kína azonban hatalmas összegeket fektet be, hogy 2030-ra 70%-ra növelje a hazai termelést patentpc.com, olyan cégeket építve, mint az SMIC és a YMTC (memória). Japán az 1980-as években domináns chipgyártó volt, és ma is jelentős szereplő az alapanyagok és berendezések terén. Ma Japán partnerségek révén tér vissza a gyártásba (pl. a TSMC gyárat épít Japánban, és az új Rapidus konzorcium célja, hogy 2 nm-es chipeket gyártson belföldön), kihasználva a minőségi gyártásban és az állami támogatásban rejlő erősségeit. Európa (EU) néhány chipgyártóval rendelkezik (pl. Infineon Németországban autóipari chipekhez, STMicroelectronics Franciaországban/Olaszországban, NXP Hollandiában), és itt található az ASML is, de összességében Európa globális chipgyártási részesedése körülbelül 8-10% techhq.com. Az EU célja, hogy ezt 2030-ra megduplázza (~20%-ra) saját Chips Act-jével, valamint azzal, hogy a TSMC-t és az Intelt is Európába csábítja gyárépítésre..eu/en/press/press-releases/2023/07/25/chips-act-council-gives-its-final-approval/#:~:text=Chips%20Act%3A%20Council%20gives%20its,the%20objective%20of%20doubling” target=”_blank” rel=”noreferrer noopener”>consilium.europa.eu. Ezen túlmenően olyan országok, mint Malajzia, Vietnam, Thaiföld, Fülöp-szigetek kulcsfontosságú szerepet játszanak az összeszerelésben és tesztelésben (ellenállóképességet és diverzifikációt biztosítva az ellátási lánc későbbi szakaszaiban) patentpc.com. Még az új belépők, mint India és Szaúd-Arábia is jelentős beruházásokat jelentettek be a félvezetőiparba való belépéshez (India ösztönzőket kínál a gyáraknak, Szaúd-Arábia pedig 2030-ig 100 milliárd dollárt tervez a chipipar kiépítésére) patentpc.com.
Összefoglalva, a félvezetőgyártás egy globálisan elosztott erőfeszítés, de kritikus szűk keresztmetszetekkel – minden szegmensben néhány vállalat vagy ország vezet. Például mindössze három vállalat (TSMC, Samsung, Intel) adja a fejlett chipek túlnyomó többségét, és csak három ország (Tajvan, Dél-Korea, Kína) gyártja ma szinte az összes chipet patentpc.com. Ez a koncentrált struktúra komoly következményekkel jár a ellátási lánc biztonságára, ahogy azt a következőkben megvizsgáljuk.
Ellátási lánc szerkezete és sebezhetőségei
A félvezető-ellátási láncot „az iparágak közül a legösszetettebb ellátási láncnak”usitc.gov nevezték – és a közelmúlt eseményei megmutatták, mennyire törékeny lehet. A természeti katasztrófáktól a geopolitikai konfliktusokig számos sebezhetőség fenyegeti a chipek zavartalan áramlását. A főbb szűk keresztmetszetek és kockázatok közé tartoznak:
- Erős földrajzi koncentráció: Az iparág földrajzi csoportosulása miatt egy régióban bekövetkező zavar az egész világot leállíthatja. Ez sehol sem látszik jobban, mint Tajvan kiemelkedő szerepénél. Bár Tajvan a chipek mintegy 18%-át gyártja mennyiségben, a „világ legfejlettebb chipgyártó kapacitásának körülbelül 92%-áért” felelős egy 2023-as USITC jelentés szerint usitc.gov. Másképp fogalmazva, szinte minden élvonalbeli (10 nm alatti) chip Tajvanról (elsősorban a TSMC-től) származik, a maradék Dél-Koreából. Ez óriási ellátási kockázat – bármilyen megszakítás (földrengés, geopolitikai válság) megbéníthatja a globális technológiai ellátási láncokatusitc.gov. Szakértők szerint egy nagyobb zavar Tajvan gyáraiban gazdasági katasztrófát okozna, messze túlmutatva a technológiai szektoron. Dél-Korea egy másik egyedüli hibapont: például szinte az összes csúcskategóriás memóriacsipet két ottani vállalat gyártja. Ezt felismerve az országok és vállalatok most földrajzilag diverzifikálni próbálják a gyártást (a globalizációtól a „regionalizáció” felé mozdulva)nefab.com, de új gyárak építése máshol időigényes.
- Egybeszállítós függőségek: Bizonyos kritikus alapanyagok egyedüli vagy nagyon korlátozott beszállítóktól függenek. Kiemelkedő példa erre az ASML – a holland cég az egyetlen forrása az EUV litográfiai gépeknek, amelyekre a csúcskategóriás chipekhez van szükség patentpc.com. Ha az ASML nem tud gépeket szállítani (akár exporttilalom, akár gyártási problémák miatt), a chipfejlesztés leáll. Hasonlóképpen, a kulcsfontosságú vegyi anyagokat is gyakran csak néhány minősített beszállító biztosítja. Például a világ fotoreziszt vegyszereinek többségét néhány japán cég szállítja. Az előrehaladott chiptervező szoftverek (EDA eszközök) is szűk keresztmetszetet jelentenek, mivel mindössze három amerikai székhelyű vállalat uralja a piacot. Ezek a koncentrációs pontok azt jelentik, hogy az egész lánc csak annyira erős, amennyire a leggyengébb (vagy legszűkebb) láncszeme.
- Anyag- és nyersanyagkockázatok: A félvezetőgyártás bizonyos ritka anyagoktól és finomított vegyszerektől függ – és ezek ellátási sokkjai már okoztak problémákat. A 2022-es orosz–ukrán háború ezt jól példázta: Ukrajna a világ tisztított neongázának 25–30%-át szállította (lézeres litográfiához használják), Oroszország pedig hasonló arányban palládiumot (bizonyos chipgyártási folyamatokhoz) usitc.gov. Amikor a háború megzavarta ezeket a szállításokat, az veszélyeztette a chipgyártást, amíg alternatív források nem léptek be usitc.gov. Egy másik példa 2023 közepéről: Kína az amerikai technológiai korlátozásokra válaszul betiltotta a gallium és germánium exportját – két kevéssé ismert, de a félvezető lézerekhez, rádiófrekvenciás chipekhez és napelemekhez nélkülözhetetlen fém deloitte.com. Ezekből az elemekből Kína a legnagyobb termelő, így a lépés miatt a gyártók kétségbeesetten kerestek más beszállítókat. Ezek az esetek rávilágítanak egy sebezhetőségre: ha egy kritikus anyag egyetlen forrása kiesik, az az egész chipgyártási folyamatot szűk keresztmetszetté teheti.
- Extrém összetettség és hosszú átfutási idők: Egy chipgyártási tétel elkészítése akár hónapokig is eltarthat, és egy új gyár felépítése a semmiből évekbe telik. Ez a hosszú átfutási idő azt jelenti, hogy az ellátási lánc nem tud gyorsan helyreállni zavarok után. Például a COVID-19 világjárvány idején a gyors keresletnövekedés és a leállások súlyos chiphiányhoz vezettek 2021-ben, amelynek fokozatos megoldása több mint egy évig tartott usitc.gov. A hiány különösen súlyosan érintette az autógyártókat – a gyárak leálltak, és az autóipar becslések szerint 210 milliárd dollárnyi eladástól esett el 2021-ben a chipek hiánya miatt usitc.gov. A chipek összetett, „just-in-time” ellátása (minimális készlettel) azt jelenti, hogy akár egy kisebb zavar – például egy tűz egy japán gyárban, egy texasi fagy, amely leállítja az üzemeket, vagy egy tajvani aszály, amely csökkenti a vízellátást – is globális termelési késedelmekhez vezethet. Ezt láthattuk egy Renesas autóipari chipgyárban történt tűznél 2021-ben, illetve texasi gyárak áramkimaradásainál ugyanabban az évben, amelyek mindegyike későbbi termékkésedelmeket okozott.
- Törékeny „just-in-time” lánc: Évekig a hatékonyság vezérelte a cégeket, hogy alacsonyan tartsák a készleteket, és valós idejű ellátásra támaszkodjanak. Ez azonban nem hagyott mozgásteret a zavaroknak. A globalizált lánc a költségek optimalizálására volt kihegyezve, nem az ellenállóképességre. Most, a világjárvány tanulságai után, a vállalatok és a kormányok a „reziliencia” irányába mozdulnak – több chip vagy alapanyag felhalmozásával, a termelés „friendshoring”-jával megbízható országokba, illetve kritikus alkatrészek kettős forrásból való beszerzésével reuters.com. Azonban a változások lassúak és költségesek.
- Geopolitikai széttagoltság: Talán a legnagyobb újonnan felmerülő sebezhetőség a chipek ellátási láncának politizálódása. Az USA–Kína technológiai versengés exportkorlátozásokhoz és feketelistákhoz vezetett, amelyek gyakorlatilag két részre osztották a világot a félvezetők terén. „A chip szektorban a globalizáció halott. A szabadkereskedelem még nem teljesen halott, de veszélyben van,” mondta a TSMC alapítója, Morris Chang 2023-ban. Az elmúlt évben az USA és szövetségesei egyre inkább korlátozták Kína hozzáférését a fejlett chiptechnológiákhoz, biztonsági aggályokra hivatkozva. Ez arra késztette Kínát, hogy még jobban ráfeküdjön a hazai technológiák fejlesztésére, sőt, bizonyos exportokat is korlátozzon válaszul. Az eredmény egy még inkább kettéosztott ellátási lánc – ahol a nyugati és kínai orientációjú ökoszisztémák kevésbé lehetnek egymástól függők. Bár ez némi redundanciát hozhat, egyben kevesebb hatékonyságot, magasabb költségeket és a technológiai erőfeszítések megkettőződését is jelenti a két szférában theregister.com. Chang nyíltan kijelentette, hogy „a globalizáció szinte halott, és a szabadkereskedelem is szinte halott”theregister.com, figyelmeztetve, hogy a globális, egységes chipellátási lánc aranykora véget ér. Ez az átmeneti időszak bizonytalanságot és kockázatot hoz, mivel a vállalatoknak bonyolult új szabályok között kell eligazodniuk arról, hogy kinek adhatnak el, és hol építhetnek gyárakat.
Röviden, a félvezető-ellátási lánc egy kétélű kard: globális jellege rendkívüli innovációt és méretgazdaságosságot hozott alacsony költségek mellett, de egyben veszélyes egyedüli hibapontokat is teremtett. Egy aszály Tajvanon vagy egy politikai patthelyzet a Dél-kínai-tengeren nem csupán helyi ügy – világszerte megzavarhatja az okostelefonok, autók és adatközponti szerverek gyártását usitc.gov. E felismerés most hatalmas erőfeszítéseket indított el a reziliencia növelésére – a helyi gyárak állami támogatásától a beszállítók diverzifikálásáig. Azonban a redundancia kiépítése időigényes, és addig a világ nagyon ki van téve a félvezető-ellátási sokkoknak.
Kulcsfontosságú anyagok és technológiák a chipgyártásban
A chipgyártás művészete egy csúcstechnológiás eljárásokból és speciális anyagokból álló eszköztárra épül. Ezek megértése rávilágít, miért olyan kihívásos a chipek gyártása (és miért csak néhány szereplő képes a legmagasabb szinten végezni):
- Szilícium ostyák: A chipek többsége szilíciumra épül – egy bőséges elem, amelynek félvezető tulajdonságai ideálissá teszik. A szilíciumtömböket tükörsima ostyákká szeletelik (a legfejlettebb gyárakban ma 300 mm átmérőjűek). Ezek az ostyák jelentik a chipek kiinduló vásznát. Hibamentes, tiszta szilíciumkristályok előállítása önmagában is csúcstechnológiás folyamat, amelyet csak néhány vállalat (többségük Japánban) sajátított el. Más félvezető anyagokat is használnak speciális alkalmazásokhoz: pl. gallium-arzenid vagy indium-foszfid nagyfrekvenciás RF chipekhez, illetve szilícium-karbid (SiC) vagy gallium-nitrid (GaN) nagy teljesítményű elektronikához (például elektromos járművek motorvezérlőihez és 5G bázisállomásokhoz), mivel ezek magas feszültségen vagy frekvencián jobb elektromos tulajdonságokkal rendelkeznek. Ezek az összetett félvezetők kulcsfontosságúak az 5G, elektromos járművek és űripar számára, és jelenleg is folynak erőfeszítések gyártásuk felfuttatására (gyakran amerikai, európai és japán anyagtudományi cégek vezetésével).
- Fotolitográfiai technológia: A modern chipgyártás középpontjában a fotolitográfia áll – fény segítségével vésetik bele az apró mintákat. Ez a technológia már-már sci-fi birodalmakba lépett. A jelenlegi élvonalbeli gyárak extrém ultraibolya (EUV) litográfiát használnak, amely 13,5 nm hullámhosszon működik, és hihetetlenül összetett optikát, plazmafényforrásokat és vákuumrendszereket igényel. Ahogy említettük, az ASML az egyetlen gyártója az EUV szkennereknek patentpc.com. Egy-egy EUV gép 180 tonnát nyom, több ezer alkatrészből áll (Zeiss tükrök, lézerrel előállított plazmafényforrás stb.), és több mint 300 millió dollárba kerülbipartisanpolicy.org. Az EUV lehetővé teszi ~7 nm-es és annál kisebb mintázatok kialakítását kevesebb lépésben. Régebbi technológiáknál (pl. 28 nm, 14 nm) a gyárak mély ultraibolya (DUV) litográfiát használnak – ez is összetett, de valamivel szélesebb beszállítói bázissal (ASML, Nikon, Canon szállítja ezeket az eszközöket). A litográfia fejlődése volt a Moore-törvény fő hajtóereje, lehetővé téve a tranzisztorsűrűség megduplázását. A litográfia következő lépcsője már készül: High-NA EUV (nagyobb numerikus apertúrájú lencsék még finomabb mintákhoz), amelyet 2 nm-es és annál kisebb chipekhez céloznak 2025-2026-ra. A chipgyártás világa nagyrészt ezen optikai technológia fejlődésén múlik.
- Kémiai folyamatok és gázok: Egy modern félvezetőgyár elképesztő mennyiségű vegyszert használ – a fluor, argon, nitrogén, szilán gázoktól kezdve a folyékony oldószerekig, savakig és fotorezisztekig. Több mint 100 különböző gáz (sok közülük mérgező vagy speciális) használatos a különböző leválasztási és maratási lépésekben steveblank.com. A fotoreziszt vegyszerek fényérzékeny polimerek, amelyeket a lapkákra visznek fel az áramköri minták átviteléhez – ezt a réspiacot japán cégek uralják steveblank.com. Kémiai-mechanikai síkítási (CMP) zagyok, amelyek nano-csiszolóanyagokat tartalmaznak, a lapkák rétegeinek síkítására szolgálnak steveblank.com. Még a ioncserélt, ultra-tiszta víz is kritikus „anyag” – a gyárak hatalmas mennyiséget használnak a lapkák öblítésére (erről az ökológiai részben lesz szó). Minden anyagnak extrém tisztasági követelményeknek kell megfelelnie, mert egyetlen szennyező atom vagy részecske milliárdnyi tranzisztort tehet tönkre. Ezért ezen anyagok ellátása önmagában is csúcstechnológiás feladat, gyakran kevés minősített beszállítóval (ezért is sérülékeny, ahogy korábban említettük).
- Tranzisztor technológia (csomópont generációk): A chipeket gyakran „csomópont” vagy tranzisztor méret alapján osztályozzák – pl. 90nm, 28nm, 7nm, 3nm stb. A kisebb általában jobb (több tranzisztor területenként, nagyobb sebesség, kisebb fogyasztás). Hogyan készülnek ezek az apró tranzisztorok? Ez mind litográfiát igényel a kis méretek kialakításához és okos tranzisztor-architektúrát. Az ipar a hagyományos sík (planáris) tranzisztorokról FinFET (3D uszony tranzisztorok)-re tért át a 22nm-es csomópont környékén a szivárgás szabályozása érdekében. Most, ~3nm-nél egy új dizájn, a Gate-All-Around (GAA) vagy nanosheet tranzisztorok kerülnek bevezetésre (a Samsung 3nm-nél GAA-t használ, a TSMC/Intel 2nm-nél tervezik a GAA-t) – ez a tranzisztor kapuját teljesen körbeöleli a csatorna körül, még jobb szabályozást biztosítva. Ezek az eszközstruktúra-fejlesztések, valamint az új anyagok (pl. nagy-κ dielektrikumok, fém kapuk) lehetővé tették a Moore-törvény folytatását, még akkor is, ha az egyszerű méretcsökkentés egyre nehezebb bipartisanpolicy.org. Egy egész K+F csővezeték dolgozik a új anyagokon tranzisztor szinten – például germánium vagy 2D anyagok (mint a grafén) csatornaként a mozgékonyság növelésére, vagy III-V félvezetők bizonyos rétegekhez. Bár ezek még nem elterjedtek nagy volumenű logikai gyártásban, az ilyen anyagok a következő években megjelenhetnek, ahogy a szilícium tranzisztorok elérik fizikai határaikat.
- Csomagolási és chipintegrációs technológia: Ahogy a tranzisztorok zsugorodása egyre kevesebb előnyt hoz, az innováció fókusza áttevődik a chipcsomagolásra és integrációra. Fejlett csomagolási technológiák lehetővé teszik több chip (chiplet) egy csomagban való egyesítését, nagy sűrűségű összeköttetésekkel. Az olyan technikák, mint a TSMC CoWoS és SoIC, az Intel Foveros, valamint az AMD chiplet architektúrája lehetővé teszik a tervezők számára, hogy különböző „csempéket” (CPU magok, GPU, IO, memória) kombináljanak egy modulban. Ez javítja a teljesítményt és a kihozatalt (a kisebb chipeket könnyebb hibamentesen gyártani, majd összerakni). Például az AMD legújabb CPU-i chipleteket használnak, és az Intel közelgő Meteor Lake-je is. A 3D-s egymásra helyezés egy másik technológia – a chipek egymásra helyezése, például memória logikára (pl. HBM nagy sávszélességű memória stackek), hogy leküzdjék a sávszélesség szűk keresztmetszeteit. Az iparág chiplet interfészeket (UCIe) szabványosít, hogy egy napon akár különböző gyártók chipjei is interoperábilisak lehessenek egy csomagban bakerbotts.com. Röviden, „a chipletek olyanok, mint a Lego kockák – kisebb, specializált chipek, amelyeket tetszés szerint lehet kombinálni erősebb rendszerek létrehozásához,” ahogy az MIT Tech Review megjegyezte (ez jól szemlélteti a fő innovációs trendet). Ez a csomagolási forradalom kulcsfontosságú technológiai stratégia a rendszer teljesítményének további növeléséhez, még akkor is, ha a tranzisztorok méretcsökkentése lassul.
- Tervezőszoftverek és IP: Bár nem anyag, érdemes megemlíteni a EDA (elektronikus tervezésautomatizálási) eszközöket és IP magokat, amelyek önmagukban is kulcsfontosságú technológiák a chiptervezésben. A modern chipek annyira összetettek, hogy megjelent a mesterséges intelligenciával támogatott EDA – az eszközök ma már gépi tanulást használnak a chipelrendezések optimalizálására és a tervek gyorsabb ellenőrzésére steveblank.com. Az IP oldalon az olyan magtervek, mint az ARM CPU magjai vagy az Imagination GPU magjai, alapvető technológiát jelentenek, amelyeket sok chipgyártó inkább licencel, mintsem újra feltalál, így ezek ténylegesen építőkockaként szolgálnak.
- Új számítási paradigmák: A hagyományos digitális chipeken túl új technológiákat is kutatnak: a kvantumszámítógépes chipek (szupravezető áramkörökből vagy csapdázott ionokból készült qubitekkel) bizonyos feladatoknál exponenciális gyorsulást ígérnek, bár még kutatási szinten vannak. A fotonikus integrált áramkörök fényt használnak elektromosság helyett kommunikációra és potenciálisan számításra is, nagyon nagy sebességgel és alacsony hőtermeléssel – már most is használják egyes kommunikációs infrastruktúrákban. A neuromorf chipek célja, hogy az agy neurális hálózatait utánozzák hardverben, AI alkalmazásokhoz. Bár ezek még nem elterjedtek, a folyamatos K+F révén a következő években a félvezetőipar részévé válhatnak.
Összefoglalva, a félvezetők gyártása egy megdöbbentően sokrétű technológiai tudás elsajátítását igényli – a anyagtudománytól (tökéletes kristályok növesztése, maratási kémia) a optikai fizikán (a litográfia nanofotonikája) át a számítástechnikáig (tervezési algoritmusok). Ez a komplexitás az oka annak, hogy csak néhány ökoszisztéma (Tajvan, Dél-Korea, USA, Japán, Európa) uralja teljesen ezeket a technológiákat, és a későn érkezők miért szembesülnek komoly akadályokkal a felzárkózásban. Ez az oka annak is, hogy a chipek gyártása ennyire nehéz – de ennyire csodálatos is, amit elérnek.
Innovációk és K+F irányok
A félvezetőipart a folyamatos innováció hajtja – ezt híresen foglalja össze a Moore-törvény, amely szerint a chipeken található tranzisztorok száma nagyjából kétévente megduplázódik. Bár a Moore-törvény lassul, ahogy a fizikai korlátok közelednek, a kutatás-fejlesztés (K+F) a chipvilágban élénkebb, mint valaha, és új utakat keres a teljesítmény javítására. Íme néhány kulcsfontosságú innováció és jövőbeli irány 2024-2025-ben:
- A node-határ feszegetése: A nagy szereplők versenyeznek a chiptechnológia következő generációinak kereskedelmi bevezetéséért. A TSMC és a Samsung 2022-2023-ban kezdték el a 3 nanométeres gyártást; most a TSMC 2 nm-es gyárakat tervez 2025-2026-ra, és az IBM (a japán Rapidusszal együtt) már laboratóriumi prototípust is bemutatott 2 nm-es chipből. Az Intel célja, hogy visszaszerezze a technológiai vezetést a 20A és 18A (kb. 2 nm-nek megfelelő) node-okkal 2024-2025-re, szalagszerű GAA-tranzisztorokat (“RibbonFET”) integrálva. Minden node-csökkenés hatalmas K+F-et igényel – új litográfiai trükköket, új anyagokat (például kobalt vagy ruténium az összeköttetésekhez, új szigetelők), és több EUV réteget. Még a 1 nm alatti (úgynevezett angström-skálájú) eljárásokról is szó esik az évtized későbbi részében, bár akkorra a „nm” címkék inkább marketingcélokat szolgálnak – a tényleges jellemzőméretek csak néhány atom vastagságúak lehetnek.
- Chiplet és moduláris architektúrák: Ahogy említettük, a chiplet-alapú tervezés jelentős innováció, amelyre érdemes figyelni. Már használatban van (AMD Zen processzorok, Intel közelgő Meteor Lake, Apple M1 Ultra, amely lényegében két M1 Max chipet egyesít egy interposerrel), és folyamatosan fejlődik a szabványos interfészekkel. Ez a moduláris megközelítés lehetővé teszi az IP blokkok újrahasznosítását, a gyártástechnológiák keverését (pl. az analóg részt régebbi node-on, a CPU-kat újabb node-on), és jobb kihozatalt. A UCIe (Universal Chiplet Interconnect Express) konzorcium 2022-ben alakult, hogy nyílt szabványokat dolgozzon ki, így elvileg egy cég előre gyártott chiplet-összetevőket vásárolhatna, és integrálhatná őket – mintha Lego kockákat illesztenénk össze. 2024-ben már látjuk, hogy a chipletek lehetővé teszik a specializáltabb kombinációkat, például AI gyorsítók vagy HBM memóriastackek egyszerű integrálását a teljesítmény skálázásához bakerbotts.com. Előretekintve ez drasztikusan megváltoztathatja, hogyan tervezik a chipeket és kik tudják azokat előállítani (csökkentve a belépési küszöböt az új szereplők számára, akik egy chiplet-nisára koncentrálhatnak).
- Mesterséges intelligencia (MI) és speciális chipek: Az MI-számítás (pl. nagy neurális hálózatok tanítása generatív MI-hez) robbanásszerű kereslete alakítja a chipinnovációt. A hagyományos CPU-k nem hatékonyak MI-feladatokra, ezért a GPU-k (grafikus processzorok) és MI-gyorsítók (TPU-k, NPU-k stb.) iránt nagy a kereslet. 2024-ben félvezetők terén „MI aranyláz” volt tapasztalható – például az Nvidia adatközponti GPU-it olyan gyorsan eladják, ahogy csak gyártani tudják, és sok startup tervez MI-specifikus chipeket. A generatív MI-chipek (CPU-kat, GPU-kat, speciális MI-gyorsítókat, memóriát, hálózatot lefedve) 2024-ben várhatóan meghaladták a 125 milliárd dolláros bevételt – több mint kétszerese a kezdeti előrejelzéseknek –, ami az összes chipeladás több mint 20%-át tette ki deloitte.com. Ez ösztönzi a K+F-et MI-re optimalizált architektúrák irányába: gondoljunk csak a tenzorszámítókra, neuromorf chipekre, memórián belüli számításra (adatfeldolgozás memória tömbökben), sőt, analóg számításra MI-hez. Nagy szereplők, mint az NVIDIA, a Google (TPU), az Amazon (Inferentia), és startupok (Graphcore, Cerebras stb.) hajtanak végre innovatív fejlesztéseket. Az AMD vezérigazgatója, Lisa Su úgy becsülte, hogy az MI-hez kapcsolódó chipek teljes piaca elérheti a 500 milliárd dollárt 2028-ra deloitte.com – ez a szám nagyobb, mint a teljes félvezetőpiac 2023-ban, ami kiemeli az MI átalakító potenciálját. Az ilyen előrejelzések hatalmas befektetéseket ösztönöznek az MI-chip K+F-be.
- 3D integráció és heterogén integráció: A chipletek egymás melletti elhelyezésén túl a 3D rétegezés (chipek egymás tetején) egy újabb határterület. A memória rétegezése (pl. HBM a GPU-kon) már elterjedt. A következő lépés a logikai chipek rétegezése a kapcsolatok rövidítése érdekében – például a gyorsabb eléréshez a gyorsítótár közvetlenül a CPU-mag réteg tetejére helyezése. Kutatási projektek vizsgálják a 3D IC-ket több ezer függőleges összeköttetéssel (szilíciumon átmenő via-k vagy akár nanoszintű léptékű összekötések a lapkák között). A heterogén integráció különböző technológiák (CMOS logika, DRAM memória, fotonika stb.) egy csomagban vagy rétegben történő egyesítését jelenti. Az amerikai CHIPS-törvény fejlett tokozási és integrációs létesítményeket finanszíroz, mert ezt kulcsfontosságúnak tartják a jövőbeli fejlődéshez, amikor a tiszta méretezés lelassul. 2024-ben az Intel bemutatta, hogy egy számítási chipet egy I/O chip tetejére helyeztek, közéjük „PowerVia” hátoldali tápellátást integrálva, a közelgő terveik részeként. Ez élvonalbeli tokozási K+F. Új anyagok és tranzisztor-paradigmák: A kutatók a szilícium utáni, CMOS utáni technológiákon is dolgoznak. A grafén és szén nanocsövek ígéretes tulajdonságokkal rendelkeznek (ultragyors elektronmobilitás), amelyek lehetővé tehetik a sokkal kisebb tranzisztorokat, de ezek tömeggyártásba való integrálása kihívást jelent. Ennek ellenére kísérleti szén nanocső FET-eket már bemutattak laboratóriumi chipeken (az MIT néhány éve egy teljesen szén nanocső tranzisztorokból álló 16 bites mikroprocesszort készített). A 2D félvezetőket, mint például a molibdén-diszulfid (MoS₂), ultra-vékony csatornákhoz vizsgálják. Eközben a spintronika (az elektron spinjének memóriaként való használata, pl. MRAM), a ferroelektromos FET-ek, és a kvantumeszközök aktív kutatási területek, amelyek bizonyos alkalmazásoknál javíthatják vagy helyettesíthetik a jelenlegi technológiát. Ezek egyike sem kerül tömeggyártásba 2025-ben, de a mostani befektetések áttöréseket hozhatnak az évtized végére. Figyelemre méltó példa: az IBM és a Samsung 2021-ben bejelentették a VTFET (Vertical Transport FET) kutatását, amely egy új, vertikális tranzisztorstruktúra, és elméletileg jelentős sűrűségnövekedést kínálhat azáltal, hogy a tranzisztorokat függőlegesen helyezik el a chipen.
- Kvantumszámítógépek és szilícium fotonika: Bár nem közvetlenül részei a mainstream CMOS ütemterveknek, mind a kvantumszámítógépek, mind a fotonikus integráció a félvezetőkkel átfedő jövőbeli irányok. A kvantumszámítógépes K+F-be milliárdokat fektettek – olyan cégek, mint az IBM, a Google, az Intel kvantum processzor chipeket is készítenek (bár nagyon eltérő technológiával – pl. szupravezető áramkörök, kriogén hőmérsékleten). Ha a kvantumszámítógépek méretezhetők lesznek, bizonyos feladatoknál (kriptográfia, komplex szimuláció) kiegészíthetik a klasszikus félvezetőket egy-két évtizeden belül. A szilícium fotonika viszont már most is egyesül a hagyományos chipekkel: optikai interfészek integrálása szupergyors adatkapcsolatokhoz (például szerverchipek között) apró lézerek és hullámvezetők segítségével a chipen belül. A technológiai óriások (pl. Intel, Cisco) fotonikus chip programokat futtatnak, és startupok optikai neurális hálózatokon dolgoznak. 2024-ben folytatódott az előrelépés a optikai transzceiver chipek második generációjával az adatközpontok számára, valamint a fotonikus számítástechnika kutatásával a mesterséges intelligencia területén.
- Fejlett memóriatechnológiák: Az innováció nem csak a logikai chipek területén zajlik. A memória is fejlődik: a 3D NAND flash már 200+ rétegre tart (a Micron és az SK Hynix >230 rétegű chipeket jelentett be), és 2030-ra akár 500+ réteg is elképzelhető, a memóriacellákat felhőkarcoló-szerűen egymásra halmozva. Az olyan új memóriák, mint az MRAM, ReRAM és fázisváltó memória, fejlesztés alatt állnak, hogy esetlegesen kiváltsák vagy kiegészítsék a DRAM-ot és a flash-t, nem-felejtő tulajdonságot kínálva jobb sebességgel vagy élettartammal. 2023-ban az Intel és a Micron is bemutatta ezeknek a következő generációs memóriáknak a fejlesztéseit. A számítási tárolás (ahol a memória bizonyos számítási feladatokat is el tud végezni) egy másik irány.
Összességében a K+F csővezeték gazdag – az azonnali következő generációs gyártási fejlesztésektől (2 nm, GAA tranzisztorok) a forradalmi új számítástechnikai paradigmákig. Az iparág példátlan állami K+F támogatásban is részesül: például az amerikai CHIPS törvény milliárdokat különít el új nemzeti félvezető-kutató központokra, és Európa Chips Act-je hasonlóképpen növeli a K+F finanszírozást semiconductors.org. Ezek az erőfeszítések a jövő technológiáiban való vezető szerep biztosítását célozzák. Egyértelmű trend a masszív együttműködés vállalatok, kormányok és akadémiai szereplők között a verseny előtti kutatásban (tekintettel a költségekre).
2025-ben állva, a Moore-törvény hagyományos értelemben lassulhat, de az innovátorok magabiztosak abban, hogy „Több Moore” és „Több mint Moore” (az új képességek a méretezésen túl) folytatódni fognak. Egy friss Economist-cikk megjegyezte, hogy még ha a tranzisztorok mérete nem is feleződik meg minden két évben, a fejlődés üteme folytatódhat chiplet architektúrákkal, AI-alapú tervezéssel és specializációval economist.com. Más szóval, a Moore-törvény végének nem kell a gyors fejlődés végét jelentenie – csak más irányokból érkeznek majd az újítások. A következő néhány év izgalmas lesz, ahogy tanúi lehetünk annak, hogy az olyan áttörések, mint a High-NA EUV, a 3D chip stackelés vagy talán egy előre nem látott új technológia, új magasságokba emelik az iparágat.
Geopolitikai feszültségek és szakpolitikai következmények
A félvezetők nem csupán üzletet jelentenek – ezek geopolitikai zsetonok egy globális hatalmi játszmában. Mivel a fejlett chipek kulcsfontosságúak a gazdasági erő és a nemzetbiztonság szempontjából (gondoljunk a katonai technológiára, kritikus infrastruktúrára, biztonságos kommunikációra), a nemzetek egyre inkább védik és ellenőrzik a félvezető-képességeket. 2024-2025 során ezek a feszültségek csak fokozódtak, átalakítva a szakpolitikát és a nemzetközi kapcsolatokat. Íme a fő történetszálak:
- USA–Kína technológiai „chip háború”: Az Egyesült Államok és Kína heves versenyben állnak a félvezetők terén. Az USA Kína chipfejlesztését potenciális biztonsági fenyegetésnek tekinti (a fejlett chipek például katonai mesterséges intelligenciát is működtethetnek), és határozott lépéseket tesz annak érdekében, hogy megtagadja Kínától a csúcstechnológiás chipekhez való hozzáférést. 2022 októberében az USA átfogó exportkorlátozásokat jelentett be, amelyek megtiltják a kínai cégeknek, hogy fejlett chipekhez (bizonyos teljesítményszint felett) és azok gyártásához szükséges berendezésekhez jussanak. 2023-ban és 2024 végén ezeket a korlátozásokat tovább szigorították – például már néhány kevésbé fejlett Nvidia AI chipet is betiltottak Kínába, és bővítették a szankciók alatt álló kínai cégek (mint az SMIC, Huawei) listáját deloitte.com. Az USA szövetségeseit, Hollandiát és Japánt is nyomás alá helyezte, hogy korlátozzák a fejlett litográfiai és egyéb chipgyártó eszközök exportját Kínába, amit 2023 elején el is fogadtak (így teljesen elvágták Kínát az EUV gépektől, sőt, néhány fejlettebb DUV eszköztől is). Ezeknek a korlátozásoknak a célja, hogy lassítsák Kína előrehaladását a legfejlettebb félvezetők terén, különösen azokban, amelyek katonai mesterséges intelligenciához és szuperszámítógépekhez szükségesek theregister.comm. Az amerikai tisztviselők nyíltan kijelentették, hogy egy „kis udvar, magas kerítés” stratégiát akarnak fenntartani – vagyis a legfejlettebb technológiák szűk körét, de gyakorlatilag áthatolhatatlan blokáddal körülvéve.
- Kína válasza – Önellátás és toborzás: Kína nem tétlenkedett. Elindította a 150+ milliárd dolláros „Made in China 2025” programot, hogy fejlessze a hazai félvezetőgyártó kapacitást és csökkentse a külföldi technológiától való függőséget. Olyan kínai gyárak, mint az SMIC, folyamatosan (ha szerényen is) haladnak előre – a szankciók ellenére az SMIC-nek sikerült 7 nm-es chipeket gyártania 2022-23-ban (régebbi DUV litográfiát kreatív módon használva) patentpc.com, ahogy azt egy 2023-ban megjelent Huawei okostelefon is mutatja, amelynek szétszerelésekor 7 nm-es, Kínában gyártott SoC-t találtak. Kína emellett kiskapukat is kihasznál, és megduplázza a K+F-et azokon az eszközökön, amelyeket nem tud importálni (például saját litográfiai berendezések fejlesztése, bár még évekkel le vannak maradva). Egy másik taktika: tehetségcsábítás. Mivel az amerikai szabályok megtiltják az amerikaiaknak, hogy segítsék a kínai chipgyártókat, Kína agresszívan toboroz mérnököket Tajvanról, Koreából és máshonnan, bőkezű juttatásokat kínálva. „Kína agresszívan toborozza a külföldi tehetségeket… magas fizetésekkel, ingyenes otthonokkal és egyebekkel,” jelentette a Reuters deloitte.com. Ez a „tehetségháború” a tudás importálására tett kísérlet. Emellett Kína saját exportkorlátozásokat vezetett be bizonyos anyagokra (gallium, germánium) 2023 közepén deloitte.com, jelezve, hogy képes megtorlásra azáltal, hogy kihasználja dominanciáját néhány, a félvezetőgyártáshoz nélkülözhetetlen nyersanyag terén.
- CHIPS törvények és iparpolitika: Figyelemre méltó fejlemény, hogy mennyi kormány vezetett be olyan intézkedéseket, amelyek célja a chipgyártás hazatelepítése vagy baráti országokba telepítése, ezzel szakítva az évtizedeken át tartó laissez-faire megközelítéssel. A Egyesült Államok CHIPS és Tudomány Törvénye (2022) 52,7 milliárd dollárt különített el közvetlen támogatásként a hazai chipgyártás fellendítésére, valamint 25%-os beruházási adókedvezményt biztosít a gyárberuházásokhoz bipartisanpolicy.org. 2023-24-re az amerikai Kereskedelmi Minisztérium elkezdte kiosztani ezeket a forrásokat projektekre – például 2023-ban bejelentette az első támogatásokat és hitelgaranciákat az USA-ban épülő gyárak számára bipartisanpolicy.org. A célok között szerepel az USA globális termelési részesedésének növelése (jelenleg kb. 12%), valamint annak biztosítása, hogy a legfejlettebb chipek (például védelmi célokra) amerikai földön készülhessenek. Hasonlóképpen, az EU elindította az Európai Chips Törvényt (2023), amelynek célja, hogy 43 milliárd eurót mozgósítson, és 2030-ra megduplázza Európa termelési részesedését 20%-ra consilium.europa.eu. Ez magában foglalja új gyárak támogatását (az Intel jelentős támogatást kapott egy németországi gyárhoz, a TSMC-t is próbálják Németországba csábítani), startupok támogatását és kutatási finanszírozást. Japán is több milliárd dolláros támogatásokat nyújtott – így csábította a TSMC-t, hogy Kumamotóban (a Sony és a Denso partnereként) gyárat építsen, a költségek közel felét (476 milliárd jen ≈ 3,2 milliárd dollár támogatás) vállalva reuters.com. Japán létrehozta a Rapidus konzorciumot is, olyan cégekkel, mint a Sony, a Toyota, és kormányzati támogatással, hogy az IBM-mel együttműködve belföldön fejlesszenek ki 2 nm-es technológiát. Dél-Korea saját ösztönzőket jelentett be egy óriási „félvezető klaszterhez”, és hogy támogassa cégeit, például a Samsungot új gyárak építésében. India 10 milliárd dolláros ösztönzőprogramot indított, hogy chipgyártókat csábítson gyárak létesítésére (bár 2024-ig a haladás lassú, főként analóg/érettebb gyárak és csomagolás iránt van érdeklődés). Még Szaúd-Arábia és Egyesült Arab Emírségek is jelezték, hogy jelentős befektetéseket terveznek a félvezetőiparba gazdaságuk diverzifikálása érdekében patentpc.com. Ez a globális iparpolitikai hullám példátlan a chipiparban, amelynek történetében korábban csak néhány kormányzati támogatás volt (például Tajvan hosszú távú támogatása a TSMC-nek), de soha nem volt ilyen széles körű koordináció. A kockázat a túlkapacitás hosszú távon és a hatékonytalan forráselosztás, de a fő hajtóerő a nemzetbiztonság és az ellátási láncok ellenálló képessége.
- Szövetségek és „barát-shoring”: A geopolitikai sakktáblán új szövetségek alakultak ki, amelyek középpontjában a chipek állnak. Az USA azon dolgozik, hogy létrehozzon egyfajta „Chip Szövetséget” hasonló gondolkodású, technológiai vezető nemzetekből – gyakran „Chip 4”-nek nevezik (USA, Tajvan, Dél-Korea, Japán) –, hogy összehangolják az ellátási lánc biztonságát, és megakadályozzák, hogy a kritikus technológia ellenfelek kezébe kerüljön. Hollandia (az ASML hazája) szintén kulcsfontosságú partner. Ezek az országok együtt ellenőrzik a csúcskategóriás chip szellemi tulajdon, eszközök és gyártás nagy részét. 2023-ban és 2024-ben közös nyilatkozatok születtek az USA és Japán, valamint az USA és Hollandia között a félvezető-ellenőrzésekkel kapcsolatos együttműködés megerősítésére. A másik oldalon Kína és a hozzá közel álló országok (esetleg Oroszország és néhány másik) elmélyíthetik saját technológiai kapcsolataikat – például Kína fokozta technológiai együttműködését Oroszországgal, és félvezető-berendezéseket keres bármely országtól, amely hajlandó eladni. A tajvani kérdés nagyban lebeg: az USA nyíltan kijelenti, hogy nem maradhat örökké függő Tajvantól a chipek terén (ezért ösztönzi a TSMC-t, hogy építsen gyárat Arizonában). Tajvan a maga részéről fenn akarja tartani „szilícium pajzsát” – azt az elképzelést, hogy a világ chipektől való függősége elrettenti a katonai agressziótól. De a feszültségek magasak – hadijáték-forgatókönyvek és egyes tisztviselők nyilatkozatai még olyan szélsőséges ötleteket is felvetettek, mint például Tajvan chipgyárainak megsemmisítése egy esetleges invázió esetén, hogy ne kerülhessenek kínai kézbe theregister.com. Ez is mutatja, hogy a félvezetők most már a nemzetvédelmi tervezéssel is összefonódtak.
- Magasabb költségek és kompromisszumok: Az ellátási lánc politizálásának egyik következménye a magasabb költségek és a hatékonyság csökkenése. Morris Chang figyelmeztetett, hogy a termelés politikai okokból történő átszervezése fel fogja hajtani az árakat – a globális, elosztott, just-in-time modell nagyon költséghatékony volt theregister.com. Most, hogy több országban is duplikálják a gyárakat, néha nem teljes kihasználtsággal, vagy nem optimális helyszíneken (költség szempontjából), a fogyasztók többet fizethetnek a chipekért és a chip-alapú termékekért. A TSMC már jelezte, hogy az arizonai új gyárában készült chipek lényegesen többe fognak kerülni, mint a tajvaniak (egyes becslések szerint ~50%-kal magasabb költség) reuters.com. A vállalatok ezeket a költségeket továbbháríthatják. Emellett kihívást jelent a tehetség és az ellátási láncok felskálázása új régiókban (ahogy a TSMC arizonai késése is mutatta, lásd Munkaerő szakasz). Ennek ellenére a kormányok hajlandóak viselni ezeket a költségeket a biztonsági előnyökért cserébe.
- Exportellenőrzések és megfelelés: Egy másik fejlemény a kialakulóban lévő összetett exportellenőrzési rendszerek. Az Egyesült Államok Kereskedelmi Minisztériumának Ipari és Biztonsági Hivatala (BIS) aktívan frissíti a szabályokat. Például 2024 végén az USA olyan szabályokat jelentett be, amelyek még a fejlett MI-modellekhez való hozzáférést is korlátozzák a szankcionált országokban, és bizonyos kevésbé fejlett chipek exportját is visszafogták, amelyeket katonai célokra lehetne átalakítani deloitte.com. A felügyelet és a végrehajtás kihívást jelent – virágzik a szürke piac, ahol chip-újraértékesítők és közvetítők próbálják a korlátozott chipeket Kínába vagy más tiltott célállomásokra juttatni. Válaszul az USA fokozza a végrehajtási intézkedéseket. Eközben Kína saját exportellenőrzési listát állít össze (amely valószínűleg több tételt, például ritkaföldfém-mágneseket is tartalmaz majd, a már korlátozott fémeken túl). Ez a macska-egér játék valószínűleg folytatódik, a vállalatok pedig néha a két oldal között rekednek (például az NVIDIA-nak módosított, alacsonyabb sebességű MI-chipeket kellett létrehoznia, hogy azokat a szabályok szerint legálisan eladhassa Kínának, amit az USA újabb korlátozásokkal figyelt).
- Technológiai szuverenitás vs. együttműködés: Sok ország beszél a „technológiai szuverenitásról” – az EU ezt a kifejezést használja arra, hogy igazolja a befektetéseket, amelyek biztosítják, hogy ne legyen teljesen kiszolgáltatva a külföldi technológiáknak. Ugyanakkor a félvezető-innováció a globális együttműködésen virágzik (egy ország sem tudja mindezt olcsón megvalósítani). Így a döntéshozóknak egyensúlyozniuk kell: helyi kapacitást építeni anélkül, hogy elszigetelődnének a globális beszállítói és vevői hálózattól. Az amerikai CHIPS-törvény valójában olyan rendelkezéseket is tartalmaz, hogy a támogatott vállalatok nem építhetnek fejlett új kapacitást Kínában 10 évig, ezzel is elősegítve a szétválasztást bipartisanpolicy.org. Kína ezzel szemben a „önellátást” támogatja, még akkor is, ha ez a kerék újrafeltalálását jelenti. Ha a szakadék tovább mélyül, párhuzamos ökoszisztémákat láthatunk – például Kína saját EDA-eszközöket, saját berendezéseket fejleszt, bár egy generációval lemaradva. Hosszú távon egyesek attól tartanak, hogy ez a duplikáció csökkenti az innováció általános hatékonyságát (mivel korábban egy olyan vállalat, mint a TSMC, az R&D költségeit globális eladásokkal tudta elosztani; egy megosztott világban piaconként alacsonyabbak a volumenek).
2024-ben a geopolitikai feszültségek továbbra is minden eddiginél magasabbak a félvezetőiparban. Az iparág úttörője, Morris Chang támogatja az Egyesült Államok Kína lassítására irányuló erőfeszítéseit – megjegyezte: „Az USA azért indította el a chipipari iparpolitikáját, hogy lassítsa Kína előrehaladását. … Támogatom ezt,” még akkor is, ha elismeri, hogy a chipek szabadkereskedelmének korszaka véget ér. Az olyan vállalatok, mint az ASML, aggodalmukat fejezték ki, hogy egyes korlátozások „inkább gazdasági indíttatásúak” mintsem pusztán biztonságiak reuters.com, ahogy az ASML vezérigazgatója is megjegyezte, miközben reményét fejezte ki egy stabil egyensúly iránt reuters.com. Eközben olyan országok, mint Dél-Korea néha úgy érzik, hogy két tűz közé szorultak – Kínára támaszkodnak piac szempontjából, de az USA-val szövetségesek. Például Dél-Korea némi rugalmasságot (mentességet) kapott, hogy cégei, a Samsung és az SK Hynix továbbra is működtethessék gyáregységeiket Kínában az amerikai szabályok ellenére, de 2024 végén még Dél-Korea is „meglepetéssel” szembesült, amikor saját technológiai politikáján gondolkodott a nyomás alatt deloitte.com.
A félvezetőipari „chip-háború” várhatóan továbbra is alakítja a globális politikát. Egyrészt óriási beruházásokat ösztönöz a technológiába és a kapacitásba (ami pozitív lehet az innováció és a munkahelyek szempontjából). Másrészt viszont kockázatot jelent egy széttöredezettebb és ingatagabb technológiai környezet kialakulására, ahol a kínálati sokkok és a kereskedelmi viták gyakoribbá válnak. A lakosság számára az egyik legközvetlenebb következmény, hogy a chipek stabil ellátásának biztosítása a kormányok egyik legfontosabb prioritásává vált – hasonlóan az energiabiztonsághoz. A következő években várhatóan híreket hallhatunk új chipgyárak alapkőletételéről az USA középső részén vagy európai fővárosokban, exporttilalmi adok-kapokról a nagyhatalmak között, és arról, hogy a félvezetők kulcsfontosságú napirendi ponttá válnak a diplomáciai tárgyalásokon. A globális verseny a chipfölényért már teljes lendületben van, és ez mélyen befolyásolja majd mind a félvezetőipar fejlődését, mind a gazdasági erőviszonyok szélesebb egyensúlyát a 21. században.
A félvezetőipar gazdasági hatása
A félvezetőipar nemcsak más szektorokat tesz lehetővé – hanem egy hatalmas gazdasági erő önmagában is. 2024-ben a globális félvezetőpiac meredeken nőtt, ahogy a világjárvány alatti hiányok enyhültek, és az új kereslet megugrott. A világ chipeladásai körülbelül 630,5 milliárd dollárt értek el 2024-ben semiconductors.org, ami erőteljes, ~18–20%-os növekedést jelent az előző évhez képest, és várhatóan új rekordokat döntenek 2025-ben (kb. 697 milliárd dollár) deloitte.com. Ha a jelenlegi trendek folytatódnak, az iparág évi 1 billió dollár közelébe érhet 2030-ra deloitte.com. Hogy érzékeltessük: ez nagyjából Hollandia vagy Indonézia éves GDP-jének felel meg, amit csak a chipek termelnek meg.De a félvezetők valódi gazdasági hatása sokkal nagyobb, mint maguknak a chipeknek az eladása. „A félvezető-ökoszisztéma vállalatai chipeket gyártanak … és eladják azokat a cégeknek, amelyek rendszerekbe és eszközökbe tervezik be őket … Azoknak a termékeknek a bevétele, amelyek chipeket tartalmaznak, eléri a több tíz billió dollárt,” magyarázza Steve Blank iparági szakértő steveblank.com. Valójában szinte minden modern elektronikai termék (okostelefonok, PC-k, autók, távközlési berendezések, ipari gépek) tartalmaz chipeket – ezek a végpiacok összesen sok billió dollárt érnek, és az egész gazdaság termelékenységét hajtják. Például a félvezetők alapvetőek kulcsfontosságú iparágakban, mint az autóipar (a mai autókban tucatnyi mikrokontroller van), a számítástechnika és felhőszolgáltatások, a távközlés (5G hálózatok), a fogyasztói elektronika, valamint a feltörekvő területek, mint a mesterséges intelligencia és a megújuló energia. A chipek elérhetősége és ára közvetlenül befolyásolja ezeknek a szektoroknak az egészségét és innovációs ütemét.
Néhány konkrét pont a gazdasági hatásról:
- Technológiai forradalmak lehetővé tétele: A félvezetők gyakran jelentik az új technológiai hullámok szűk keresztmetszetét vagy éppen katalizátorát. Az okostelefonok és a mobilinternet 2010-es évekbeli térnyerését az egyre erősebb és energiahatékonyabb telefonchipek tették lehetővé. A jelenlegi MI-robbanás (ChatGPT-szerű modellekkel és autonóm rendszerekkel) a legmodernebb GPU-knak és MI-gyorsítóknak köszönhető; ha a chipfejlődés leállt volna, az MI-algoritmusok nem futtathatók gyakorlati méretben. Az IoT (Dolgok Internete), az elektromos és önvezető autók, az Ipar 4.0 automatizáció, valamint a 6G kommunikáció jövőbeli terjeszkedése mind feltételezi a chipek folyamatos fejlődését. Gazdasági szempontból a chipeknek hatalmas multiplikátor hatása van – egy félvezetőipari áttörés teljesen új iparágakat indíthat el. Ezt felismerve a kormányok „stratégiai” iparágnak nevezik a félvezetőket; például a Fehér Ház kijelentette, hogy a félvezetők „kritikusak az USA gazdasági növekedése és nemzetbiztonsága szempontjából”, ami alátámasztja, miért volt indokolt a CHIPS-törvény bipartisanpolicy.org.
- Munkahelyteremtés és magasan képzett foglalkoztatás: A félvezető szektor világszerte rengeteg munkahelyet biztosít, ezek közül sok magasan fizetett, képzett pozíció (mérnökök, technikusok, kutatók). Olyan chiptervező központokban, mint a Szilícium-völgy (USA) vagy Hszincsu (Tajvan), a chipgyártó cégek jelentős munkaadók. Egyetlen új gyár (fab) több ezer közvetlen és több tízezer közvetett munkahelyet teremthet (építőipar, beszállítók, szolgáltatások). Például az Intel tervezett ohiói és a TSMC arizonai gyárai egyenként várhatóan ~3 000 közvetlen munkahelyet hoznak létre, plusz még többet a tágabb gazdaságban. Ráadásul ezek pontosan azok a fejlett gyártási munkahelyek, amelyeket sok fejlett ország szeretne hazai szinten is, gazdasági és biztonsági okokból. Ugyanakkor, ahogy a következő szakaszban tárgyaljuk, az ilyen képzett munkaerő megtalálása egyre nagyobb kihívás, ami önmagában is gazdasági következményekkel jár (a munkaerőhiány lassíthatja a bővülést és növelheti a béreket).
- Globális kereskedelem és ellátási láncok: A félvezetők a világ egyik legkereskedettebb termékei közé tartoznak. Az éves globális félvezető- és kapcsolódó berendezés-kereskedelem több száz milliárd dollárt tesz ki. Például a chipek folyamatosan a legfontosabb exportcikkek közé tartoznak olyan országokban, mint Tajvan, Dél-Korea, Malajzia, és egyre inkább Kína (amely sok alsóbb kategóriás chipet exportál, miközben a csúcskategóriásakat importálja). Valójában 2020 óta Kína chipimportja (2022-ben kb. 350 milliárd dollár) meghaladta az olajimportját, ami kiemeli a chipek kulcsfontosságú importcikk szerepét az ország számára patentpc.com. Ez a dinamika a kereskedelmi mérlegekre és tárgyalásokra is hatással van. Az exportorientált gazdaságok, mint Dél-Korea és Tajvan, a chipexportból növekednek – Tajvanon például a TSMC önmagában is jelentős hozzájáruló a GDP-hez és a kereskedelmi többlethez. Eközben azok az országok, amelyek chipimportra szorulnak (mint sok európai ország vagy India), a hazai gyártás fejlesztését részben a kereskedelmi pozíció javítása érdekében is szorgalmazzák.
- Gazdasági biztonság: A 2021-2022-es chiphiány ébresztőt fújt: egyetlen 1 dolláros félvezető alkatrész hiánya elég volt ahhoz, hogy leállítsa egy 40 000 dolláros autó gyártását, ami hozzájárult az inflációhoz és az alacsonyabb GDP-növekedéshez egyes régiókban. Tanulmányok becslése szerint a chiphiány globálisan több százalékponttal csökkentette az autógyártást, és lassította a fogyasztói elektronikai cikkek elérhetőségét, ami valószínűleg kisebb mértékben visszafogta a GDP-t 2021-ben. A kormányok ma már a biztosított chipellátást a gazdasági biztonság részének tekintik. Egy 2023-as PwC-jelentés még arra is figyelmeztetett, hogy egy komoly, klímaváltozás okozta chipellátási zavar a várható 1 billió dolláros kibocsátás egyharmadát is veszélybe sodorhatja egy évtizeden belül, ha az iparág nem alkalmazkodik pwc.com – ami jelentősen ártana a világgazdaságnak. Ezért a gazdasági tervezők a félvezetőket is beépítik azokba a kockázatértékelésekbe, amelyeket eddig csak alapvető nyersanyagokra tartottak fenn.
- Tőzsde és vállalati növekedés: Maguk a félvezetőgyártó cégek a világ legértékesebb vállalatai közé tartoznak. 2024 végére a 10 legnagyobb chipgyártó együttes piaci kapitalizációja mintegy 6,5 billió dollár volt, ami 93%-os növekedést jelentett az előző évhez képest deloitte.com, főként a mesterséges intelligenciához kapcsolódó értékelések megugrásának köszönhetően. Az olyan óriások, mint a TSMC, az NVIDIA, a Samsung, az Intel és az ASML mind több száz milliárd dolláros piaci értékkel bírnak. Ezen cégek teljesítménye erősen befolyásolja a tőzsdeindexeket és a befektetési áramlásokat. Valójában a Philadelphia Semiconductor Index (SOX) gyakran a technológiai szektor egészségének barométereként szolgál. E vállalatok felemelkedése óriási vagyont teremtett, amelyet rekordösszegekben forgatnak vissza K+F-be és tőkeberuházásokba (a TSMC például ~36 milliárd dollárt költött beruházásokra 2022-ben reuters.com, ami több repülőgép-hordozó építési költségével vetekszik). Ez az innováció és a gazdasági aktivitás erényes körforgását hozza létre, amíg a kereslet kitart.
- Fogyasztói hatás és árak: A chipek sok termék költségének jelentős részét adják. Ahogy a chipek egyre erősebbek lesznek (Moore-törvény), gyakran az egy funkcióra jutó költség csökken, így olcsóbbá válnak az elektronikai eszközök, vagy ugyanazért az árért több funkciót kapunk – ez előnyös a fogyasztóknak és a termelékenységnek. Azonban a közelmúltbeli ellátási szűkösség és a „biztonságos” ellátási láncok többletköltségei (pl. gyártóüzemek duplikálása drágább régiókban) inflációs nyomást gyakorolhatnak. Láttuk például, hogy az autóárak jelentősen megugrottak 2021-2022-ben, részben azért, mert az autógyártók nem jutottak elegendő mikrovezérlőhöz, ami alacsony készletekhez vezetett. Egy 2021-es Goldman Sachs-jelentés szerint a chipek számos fogyasztási cikk árába beépülnek, így egy elhúzódó chiphiány érezhetően növelheti az inflációt. Ezzel szemben, ha a chipellátás normalizálódik, az elektronikai cikkek árára deflációs hatással lehet. Hosszú távon a félvezetők folyamatos fejlődése deflációs erő (az elektronikai cikkek ára csökken, vagy ugyanazért az árért sokkal többet tudnak évente).
- Kormányzati támogatások és megtérülés (ROI): Több tízmilliárdnyi közpénz elköteleződött már chip-kezdeményezések mellett, így az adófizetők és a közgazdászok is figyelik a megtérülést. A támogatások hívei szerint ezek a szubvenciók megtérülnek majd a magas hozzáadott értékű munkahelyteremtésen és a létfontosságú iparágak védelmén keresztül. Létezik továbbá a multiplikátorhatás is – például egy félvezetőgyár (fab) építése rengeteg építőipari munkát, majd magasan képzett munkahelyeket teremt, és minden egyes fab-munkahely állítólag további ~4–5 munkahelyet támogat a gazdaságban (karbantartás, szolgáltatások stb. terén). A kritikusok ugyanakkor óvatosságra intenek a túlkínálat vagy a kormányzati „nyertesek” kiválasztásának hatékonysága miatt. A CHIPS-törvény finanszírozása például feltételekhez kötött (nyereségmegosztás túlzott profit esetén, gyermekfelügyeleti követelmények a fab-dolgozóknak stb.), hogy biztosítsák a szélesebb körű előnyöket. E politikák sikere vagy kudarca gazdasági hullámhatásokat eredményezhet: ha sikeresek, olyan régiók, mint az amerikai Középnyugat vagy a németországi Szászország új Szilícium-völgyekké válhatnak, fellendítve a helyi gazdaságokat. Ha nem, akkor drága, kihasználatlan beruházások (fehér elefántok) kockázata áll fenn.
Összefoglalva, a félvezetőknek hatalmas közvetlen és közvetett gazdasági hatásuk van. Növekedést generálnak a kapcsolódó iparágakban, és kulcsszerepet játszanak a termelékenységnövekedésben (gyorsabb számítógépek = több tudományos szimuláció, jobb mesterséges intelligencia = több automatizáció). Az ágazat ciklikus jellege (fellendülés-visszaesés ciklusok a kereslet ingadozása miatt) szintén hatással lehet a tágabb gazdasági ciklusokra. Például egy chip-piaci visszaesés (mint 2019-ben vagy 2023-ban a memóriapiacon) visszavetheti az exportot és a GDP-t a gyártásorientált gazdaságokban, míg egy fellendülés (mint a jelenlegi AI-boom) felpörgetheti azokat.
Ahogy közeledünk 2025-höz, a kilátások optimisták: a Deloitte iparági előrejelzése szerint 2024 rendkívül erős év volt, ~19%-os növekedéssel, és 2025-ben újabb ~11%-os növekedés várható, ami az iparágat a billió dolláros cél felé tereli deloitte.com. A növekedést az új technológiák iránti kereslet (AI, 5G, elektromos járművek) hajtja, ami ellensúlyozza az okostelefonok vagy PC-k esetleges lassulását. A kihívás az lesz, hogy a lokalizáció költségeit és a geopolitikai korlátokat úgy kezeljék, hogy közben ne fojtsák el azt az innovációt és méretgazdaságosságot, amely a félvezetőket eleve ilyen gazdasági sikertörténetté tette.
Környezeti és fenntarthatósági aggályok
Bármilyen lenyűgöző is a félvezető-technológia, gyártása jelentős környezeti költségekkel jár. Az iparág egyre inkább szembesül fenntarthatósági kihívásaival – beleértve a hatalmas víz- és energiafelhasználást, az üvegházhatású gázok kibocsátását és a vegyi hulladékot. Paradox módon, miközben a chipek zöldebb technológiákat tesznek lehetővé (például hatékony elektronika és tiszta energia megoldások), maguknak a chipeknek a gyártása erőforrás-igényes és szennyező lehet, ha nem kezelik megfelelően. Íme a legfontosabb környezeti aggályok:
- Vízhasználat: „A félvezetők nem létezhetnek víz nélkül – méghozzá rengeteg víz nélkül,” jegyzi meg Kirsten James a Ceres-től weforum.org. A gyárak hatalmas mennyiségű ultra-tiszta vizet (UPW) igényelnek a lapkák öblítéséhez minden egyes kémiai folyamat után. Ennek a víznek rendkívül tisztának kell lennie (ezerszer tisztábbnak, mint az ivóvíz), hogy elkerüljék a bármilyen ásványi anyag vagy részecske általi szennyeződést weforum.org. 1 000 gallon UPW előállításához körülbelül 1 400–1 600 gallon városi víz szükséges (a többi szennyvízzé válik) weforum.org. Egy egyetlen nagy chipgyár akár 10 millió gallon vizet is felhasználhat naponta, ami ~30 000–40 000 háztartás vízfogyasztásának felel meg weforum.org. Világszinten az összes félvezetőgyár együttes vízfogyasztása egy többmilliós városéval vetekszik; egy jelentés szerint a chipgyárak világszerte annyi vizet használnak évente, mint Hongkong (7,5 millió lakos) weforum.org. Ez a hatalmas igény nyomást gyakorol a helyi vízkészletekre, különösen azokon a területeken, ahol már eleve aszály vagy vízhiány van (például a TSMC tajvani gyárait 2021-ben súlyos aszály fenyegette, ami kormányzati vízadagolást és a gyárakhoz víz szállítását tette szükségessé). A vízhiány egyre inkább sérülékenységet jelent az iparág számára weforum.org. Ráadásul a gyárakból származó szennyvíz veszélyes vegyi anyagokat (például savakat, fémeket) tartalmazhat. Megfelelő kezelés nélkül ez a szennyvíz szennyezheti a folyókat és a talajvizet, károsítva az ökoszisztémákat weforum.org. Valóban, Kína és Dél-Korea egyes chipgyártó központjaiban a hatóságok környezetszennyezés miatt már bírságolták a gyárakat weforum.org. Az iparág válaszul a víz újrahasznosításába fektet: sok gyár ma már újrahasznosítja vízfogyasztásának egy részét. Például a TSMC új arizonai gyára azt állítja, hogy helyben a vízhasználatának mintegy 65%-át visszanyeri <a href=”https://www.weforum.org/stories/2024/07/the-water-challenge-foweforum.org, és az Intel együttműködött az oregoni és arizonai helyi hatóságokkal vízkezelő üzemek építésében, hogy feltöltsék a víztartó rétegeket weforum.org. Néhány gyár Szingapúrban és Izraelben még ennél is magasabb arányban hasznosítja újra a vizet. Azonban, ahogy a chip iránti kereslet növekszik, az összes vízfelhasználás is emelkedni fog, ami kritikus fenntarthatósági kérdéssé teszi ezt.
- Energiafogyasztás és kibocsátások: A chipgyártás energiaigényes. Egy gyár tisztaszobáinak, szivattyúinak és hőfolyamatainak 0-24-es működtetése hatalmas áramfelhasználással jár. Egyetlen fejlett gyár folyamatosan 100 megawatt áramot fogyaszthat – ez egy kisebb város (több tízezer otthon) energiafogyasztásának felel meg. Valójában „egy szabványos nagy chipgyártó üzem naponta több mint 100 000 megawatt energiát fogyaszt el … minden egyes nap”, és az egész szektor körülbelül 190 millió tonna CO₂-egyenértéket használt fel 2024-ben blog.veolianorthamerica.com. (Ez a kibocsátási érték – 190 millió tonna – nagyjából olyan országok éves kibocsátásának felel meg, mint Vietnam vagy Ausztrália.) Ennek a szénlábnyomnak egy része közvetett energiafelhasználásból származik (ha a helyi hálózat fosszilis tüzelőanyaggal működik), egy része pedig közvetlen folyamatkibocsátásból. A gyárak perfluorozott vegyületeket (PFC-ket) használnak maratáshoz és tisztításhoz; ezek a gázok, mint például a CF₄ vagy a C₂F₆, ezerszer nagyobb globális felmelegedési potenciállal rendelkeznek, mint a CO₂, és évezredekig is megmaradhatnak a légkörben. Bár az iparág dolgozik a PFC-szivárgások visszaszorításán (az önkéntes Kiotói Egyezmény keretében), ezek még mindig jelentős kibocsátást okoznak. A TechInsights tanulmánya szerint, ha a chipgyártás 2030-ra megduplázódik (hogy elérje az 1 billió dolláros piacot), mérséklés nélkül az iparág kibocsátása jelentősen nőhet pwc.com. Az energiafelhasználás csökkentése érdekében a chipgyártók egyre többet fektetnek megújuló energiába, hogy a gyárakat ezekkel működtessék. A TSMC például a világ egyik legnagyobb vállalati megújulóenergia-vásárlójává vált, célja, hogy 2030-ra 40%-ban, 2050-re pedig 100%-ban megújuló energiát használjon. Az Intelnek is vannak olyan gyárai, amelyek egyes helyszíneken 100%-ban megújuló árammal működnek. A gyárak energiahatékonyságának javítása (pl. hővisszanyerés, hatékonyabb hűtőrendszerek) szintén fontos cél. Ugyanakkor a fejlettebb chipek gyakran több energiát igényelnek egy ostya előállításához (pl. az EUV litográfia kevésbé energiahatékony, mint a régebbi litográfia), így feszültség van a technológiai fejlődés és a chipenkénti energiafelhasználás között. Egyes elemzők attól tartanak, hogy ha a Moore-törvény lassul, a tranzisztoronkénti energiafelhasználás akár nőhet is.
- Vegyi és veszélyes hulladék: A félvezetőgyártás során mérgező és veszélyes anyagokat használnak – például szilán vagy arzin gázokat, maró folyadékokat (savak, oldószerek) és nehézfémeket. A hulladékáramok biztonságos kezelése kulcsfontosságú. A gyárak vegyi hulladékot termelnek, amelyet gondosan kell kezelni vagy ártalmatlanítani. Például a használt oldószerek és maratóanyagok desztillálhatók és újrahasznosíthatók, a savakat semlegesítik, az iszapot pedig szűrik az újrafelhasználás érdekében. Olyan cégek, mint a Veolia, kifejezetten a gyárak hulladék-újrahasznosítását segítik – a felhasznált vegyszereket hasznos termékekké alakítják, vagy biztonságosan elégetik a hulladékot és energiát nyernek belőle blog.veolianorthamerica.com. A legjobb gyakorlatok ellenére is előfordulhatnak balesetek (vegyi szivárgás, helytelen lerakás), amelyek helyi környezeti károkat okozhatnak. Egy másik szempont a csomagolási hulladék – a gyártás során rengeteg egyszer használatos műanyag tartály, kesztyű, köpeny stb. keletkezik a tisztaszobákban. Sok vállalat most már ezt a szilárd hulladékot is igyekszik csökkenteni és újrahasznosítani blog.veolianorthamerica.com. Létezik e-hulladék downstream is, de ez inkább a kész elektronikai termékek ártalmatlanításáról szól, nem magáról a chipgyártásról.
- Klímaváltozással szembeni ellenállóképesség: Ironikus módon a klímaváltozás közvetlen veszélyt jelent a chipgyártásra, miközben a chipekre szükség lesz a klímaváltozás elleni küzdelemben. A gyárak egyre inkább olyan helyeken találhatók, ahol szélsőséges időjárás tapasztalható: tájfunok Kelet-Ázsiában, hőhullámok és aszályok (pl. az USA nyugati részén, Tajvanon) stb. Egy 2024-es CNBC riport kiemelte, hogy egy egyetlen vihar vagy árvíz, amely eléri a kulcsfontosságú „chipvárost”, felboríthatja az ellátást – például egy feltételezett Helene tájfun, amely eléri a tajvani Hszincsut (ahol a TSMC központja van), katasztrofális lehetne deloitte.com. A vállalatok most értékelik a klímakockázatokat létesítményeikre nézve. A vízhiány kiemelt aggodalom – egy 2023-as felmérés szerint a chipgyártó vezetők 73%-a aggódott a természeti erőforrások (víz) kockázatai miatt a működésükre nézve weforum.org. Sokan beépítik a klímaellenállóságot, például helyszíni víztárolókat, tartalék áramforrásokat építenek, és földrajzilag diverzifikálnak. A PricewaterhouseCoopers arra figyelmeztetett, hogy alkalmazkodás nélkül akár a globális félvezető-ellátás 32%-a is veszélybe kerülhet 2030-ig a klímaváltozással összefüggő vízhiány és egyéb hatások miatt pwc.com.
- Pozitív kezdeményezések: A pozitív oldalon az iparág fokozta fenntarthatósági vállalásait. 2025-re szinte minden nagyobb félvezetőgyártó rendelkezik valamilyen szén-dioxid-csökkentési vagy karbonsemlegességi céllal. A TSMC célja, hogy 2030-ra 20%-kal csökkentse kibocsátását (2020-as bázisról), és 2050-re elérje a nettó zéró kibocsátást. Az Intel 2040-re tűzte ki a nettó zéró működési kibocsátást, és zöld gyárakba fektet be (már 2022-ben elérte a 82%-os vízújrahasznosítást és 100%-os zöldenergia-használatot az USA-ban). A Samsung is bejelentett környezetvédelmi célokat – például megújuló energiaforrások használatát a tengerentúli üzemekben, valamint a gyártási folyamatok energiahatékonyságának javítását. További pozitívum, hogy az iparág termékei máshol is segítenek a kibocsátás csökkentésében – például az energiatakarékos chipek csökkentik az adatközpontok és elektronikai eszközök energiafelhasználását; a megújuló energia rendszerekben használt chipek javítják a hálózat hatékonyságát. Az SIA (Semiconductor Industry Association) egyik tanulmánya szerint minden, a chipipar által kibocsátott CO₂ tonnára több tonna megtakarítás jut más szektorokban (energiamegtakarítás révén). Hogy ez teljesen ellensúlyozza-e a lábnyomot, vitatott, de az biztos, hogy a félvezetők kulcsfontosságúak a klímavédelmi megoldásokban (okos hálózatok, elektromos járművek stb.).
A fejlődés szemléltetésére: a Sony japán félvezetőgyártó részlege szerint egyik gyára a szennyvíz mintegy 80%-át újrahasznosítja és új újrahasznosító létesítményeket épít ennek javítására weforum.org. Számos vállalat csatlakozott a Responsible Business Alliance kezdeményezéseihez a fenntartható ellátási láncokért, biztosítva, hogy az általuk használt ásványok (pl. kobalt, tantál) konfliktusmentesek és felelősen bányászottak legyenek. Konzorciumok is alakulnak a átfogó problémák közös kezelésére – például a belga IMEC fenntartható félvezetőgyártási programokat működtet, alternatívákat keres a PFC gázokra, és az energiafelhasználás csökkentésére egy szilíciumlapkára vetítve.
Összefoglalva, a félvezetőgyártás környezeti hatása jelentős, és kezelni kell. A jó hír, hogy az iparági vezetők ezt elismerik. Ahogy egy Deloitte-jelentés fogalmazott: egy billió dollár értékű chip előállítása 2030-ban környezeti hatással jár – a kérdés az, hogyan lehet ezt mérsékelniwww2.deloitte.com. A továbblépés útja a nagyobb átláthatóság (a vállalatok víz- és szén-dioxid-adatokat tesznek közzé), tudományos alapú célok kitűzése a kibocsátásra, befektetés a körforgásos gazdasági gyakorlatokba (például vegyszer-újrahasznosítás, nulla hulladék lerakóba célok blog.veolianorthamerica.com), valamint partnerség a kormányokkal (infrastruktúra, például megújuló energia és vízkezelés terén). A fogyasztók és befektetők is egyre inkább zöldebb gyakorlatokat követelnek – például a nagy chipvásárlók, mint az Apple, azt szeretnék, hogy az ellátási láncuk (beleértve a chipbeszállítókat, mint a TSMC) 100%-ban megújuló energiát használjon. Ez a külső nyomás is elősegíti a változást.
Tehát, bár a chipiparnak még van tennivalója a környezeti lábnyomának csökkentése érdekében, már most is jelentős lépéseket tesz. Végül is a víz- és energia-megtakarítás hosszú távon gyakran költségmegtakarítással is jár. És egy olyan világban, ahol a fenntarthatóság egyre fontosabb, a „zöld chipgyártásban” való kiválóság újabb versenyelőnnyé válhat. Lehet, hogy hamarosan olyan technológiák is elterjednek, mint a új száraz maratási eljárások (kevesebb vegyszerrel) vagy PFC-gázok helyettesítői, amelyeket a környezettudatos K+F tesz általánossá. A remény az, hogy a félvezetőipar következő növekedési szakasza úgy valósulhat meg, hogy az a környezettel együttblog.veolianorthamerica.com működik, nem pedig ellene – így a chip-alapú digitális forradalom fenntartható lehet a bolygó számára.Munkaerő- és tehetséggondok
A félvezetőgyártás nem csak a tisztaszobákról és gépekről szól – alapvetően rendkívül speciális tudású emberekre épül. Itt pedig az iparág komoly kihívással néz szembe: egy egyre növekvő tehetséghiánnyal és készséghiánnyal. Ahogy a nemzetek új gyárakba és K+F-be fektetnek, felmerül a kérdés: ki fogja ezeket a létesítményeket működtetni és az innovációt hajtani, különösen egy olyan korban, amikor a jelenlegi munkaerő elöregszik, a fiatalabb tehetségek pedig inkább a szoftveripar vagy más területek felé fordulnak?
A félvezetőipari munkaerővel kapcsolatos főbb kérdések és fejlemények:
- Elöregedő munkaerő & nyugdíjba vonulási hullám: Számos régióban a jelenlegi félvezetőmérnöki munkaerő az idősebb, tapasztalt szakemberek felé tolódik – és egy nagy csoport hamarosan nyugdíjba vonul. Az Egyesült Államokban például „a félvezetőipari munkaerő 55%-a 45 év feletti, míg kevesebb mint 25%-a 35 év alatti” 2024 közepén deloitte.com. Európában hasonló a helyzet: „Európa félvezetőipari dolgozóinak 20%-a 55 év feletti, és Németországban a félvezetőipari munkaerő mintegy 30%-a várhatóan nyugdíjba vonul a következő évtizedben” – derül ki az EE Times elemzéséből deloitte.com. Ez egy közelgő „agyelszívás”, ahogy a veterán szakértők távoznak. Az iparág azt kockáztatja, hogy gyorsabban veszít el évtizedes intézményi tudást, mint ahogy pótolni tudná – erre a Deloitte tehetségkutatása is felhívta a figyelmet, amely szerint „a tudás átadása nem következetes, és túl kevés az új belépő, hogy átvegye a szakértelmet” deloitte.com.
- Elégtelen új tehetség-utánpótlás: Történelmileg a chipmérnöki pályák (legyen szó villamosmérnökségről, anyagtudományról vagy berendezés-karbantartásról) sosem vonzottak annyi fiatal tehetséget, mint például a szoftverfejlesztés vagy az adattudomány. A munkát gyakran speciálisabbnak tartják, magasabb végzettséget igényel, és az iparág ismertsége a friss diplomások körében csökkent a PC-robbanás óta. Egy közös SEMI-Deloitte tanulmány már 2017-ben kiemelte a „fenyegető tehetséghiányt”, és megjegyezte, hogy a félvezetőipar küzd a márkaépítéssel és értékajánlattal az új diplomások felédeloitte.com. 2023-2024-ben, a terület csúcstechnológiai jellege ellenére, kevesebb diák választ félvezetőkhöz kapcsolódó szakokat, és a cégek nehezen találnak munkaerőt a belépő szinttől egészen a Ph.D. kutatókig. Az eredmény: sok betöltetlen állás, kevés megfelelő jelentkező. Ez különösen éles azokban a régiókban, amelyek alacsony bázisról próbálják bővíteni a chipgyártást (pl. az USA, ahol sokkal több technikust kellene képezni az új üzemekhez, vagy India kezdeti próbálkozásai esetén).
- Regionális eltérések és a TSMC arizonai tanulsága: A tehetséggondok egyik legismertebb példája volt a TSMC arizonai késése. A TSMC egy 40 milliárd dolláros üzemet épít Arizonában – ez az egyik sarokköve az USA törekvésének, hogy a fejlett chipgyártást hazatelepítse. Azonban 2023 közepén a TSMC bejelentette, hogy az üzem megnyitását 2024-ről 2025-re halasztja, hivatkozva a „szakképzett munkaerő hiányára” a helyi munkaerőpiacon manufacturingdive.com. A cég nehezen talált elegendő amerikai munkavállalót, akik rendelkeztek volna a speciális tudással a fejlett üzem berendezéseinek építéséhez és telepítéséhez, és „ellenállásba ütközött a szakszervezetek részéről, amikor tajvani munkásokat akart behozni” segítenireuters.com. A TSMC-nek több száz tapasztalt technikust kellett Tajvanról Arizonába küldenie, hogy betanítsák a helyieket és befejezzék a tisztatér telepítését. A cég elnöke, Mark Liu megjegyezte, hogy minden új projektnek van tanulási görbéje, de utalt arra, hogy az amerikai munkaerőhiány komoly akadályt jelentett reuters.com. Ez a helyzet rávilágít arra, hogy a szakértelem a meglévő központokban koncentrálódik (mint például Tajvanon a csúcstechnológiás gyártás esetén), és nem könnyen mozdítható át. Most az amerikai üzemprojektek (az Intel új üzemei, a Samsung texasi bővítése stb.) mind fokozzák a toborzást és a képzést, együttműködnek főiskolákkal és mérnöki karokkal a tehetségek fejlesztése érdekében. Azonban egy friss diplomásból tapasztalt félvezetőipari folyamatmérnököt képezni évekig tartó munkahelyi tapasztalatot igényel. Így a hazai tehetségállomány felfutása lemaradhat az üzemépítések ütemétől.
- Kína tehetség-toborzása: Eközben Kína agresszívan toboroz chipipari tehetségeket világszerte, hogy leküzdje technológiai korlátait. Mint említettük, mivel a nyugati országok korlátozzák a technológia transzfert, Kína az egyének toborzása felé fordult. Egy 2023-as Reuters-vizsgálat szerint Kína csendben több száz mérnököt alkalmazott Tajvan TSMC-jétől és más cégektől, gyakran kétszeres fizetést és olyan juttatásokat kínálva, mint a lakhatás deloitte.com. A cél az, hogy a szakértelmet kínai gyártóüzemekbe és tervezőirodákba importálják (ez némileg tükrözi, ahogy Tajvan eredetileg az USA-ban képzett mérnökök hazahozatalával indította be iparát az 1980-as években). Ez azonban feszültséget okozott – Tajvan vizsgálatokat indított és szigorította a törvényeket, hogy megakadályozza a szellemi tulajdon elvándorlását a tehetségek elcsábításán keresztül. Az USA is megtiltotta állampolgárainak (és zöldkártyásoknak), hogy bizonyos kínai chipgyártóknál dolgozzanak engedély nélkül deloitte.com, miután észrevették, hogy sok volt amerikai alkalmazott vállal jól fizető állásokat Kínában. Ennek ellenére a „tehetségháború” miatt világszerte nagy a kereslet a tapasztalt mérnökök iránt, és a fizetések egyre magasabbak. Ez nagyszerű a mérnököknek, de problémás lehet azoknak a cégeknek és régióknak, amelyek nem tudják felvenni a versenyt a gazdagabb ajánlattevőkkel (legyen az egy államilag támogatott kínai startup vagy egy amerikai CHIPS-törvény által finanszírozott gyár).
- Képzési és oktatási kezdeményezések: Felismerve a tehetséghiányt, számos kezdeményezés indult. A CHIPS-törvény keretében az USA nemcsak gyárakra, hanem munkaerő-fejlesztésre is elkülönített forrásokat – egyetemekkel és közösségi főiskolákkal együttműködve új félvezetőipari oktatási programokat hoznak létre bipartisanpolicy.org. Például a Purdue Egyetem elindította a Semiconductor Degrees Programot, amelynek célja évente több száz chipgyártásban jártas mérnök képzése, és az Arizona State University is bővíti programjait a TSMC jelenlétének támogatására. Hasonlóképpen, az európai Chips Act ösztöndíjakat és országokon átívelő képzési hálózatokat tartalmaz, hogy több mikroelektronikai szakembert képezzenek. A vállalatok is növelik a belső képzéseket; az Intel például régóta működtet belső „gyárfőiskolát”, és bővíti a gyakornoki és kooperációs programokat. Az egyik kihívás azonban az, hogy a chipgyártásban sok hallgatólagos tudás nem tankönyvekből tanulható – ezt a gyárakban, a gyakorlatban lehet elsajátítani. Ezért a tehetségek bővítése formális oktatás és gyakorlati tanulás kombinációját igényli a meglévő üzemekben. A kormányok akár a bevándorlási szabályokat is enyhíthetik, hogy külföldi tehetségeket vonzzanak (az USA egy speciális vízumkategóriát fontolgat chip-szakértőknek, Japán pedig tajvani és koreai mérnököket csábít a Rapidushoz).
- Munkakultúra és vonzerő: Egy másik probléma, hogy a félvezetőipari karrierutat vonzóvá tegyék. Az iparág megterhelő lehet – a gyárak éjjel-nappal működnek, a mérnökök gyakran műszakban dolgoznak, és a szükséges precizitás miatt nagy a nyomás. Ahogy a Reuters is megjegyezte, a TSMC azt tapasztalta, hogy az amerikai dolgozók kevésbé hajlandóak elviselni a chipgyárak „kimerítő”, éjjel-nappali munkarendjét, mint a tajvani vagy japán munkavállalók reuters.com. Japánban kulturális norma a hosszú munkaidő, ami illeszkedik a chipgyárak igényeihez, míg az Egyesült Államokban a munka-magánélet egyensúly elvárásai ütközhetnek az éjszakai műszak szükségességével. A vállalatoknak alkalmazkodniuk kell (pl. több automatizálás az éjszakai műszakok csökkentésére, vagy ösztönzők a kevésbé népszerű időpontokban végzett munkáért). Az iparág javíthatná imázsát is azzal, hogy kiemeli a munka menő, jelentőségteljes jellegét – hiszen a technológia jövőjét teszed lehetővé –, valamint a sokszínűség és befogadás előmozdításával (hagyományosan férfiak dominálták, de jobban bevonhatná az alulreprezentált csoportokat is). A történelmi csillogás hiánya a szoftveriparhoz képest valamelyest halványul, mivel a félvezetők mostanában gyakran szerepelnek a hírekben, de a folyamatos tájékoztatás kulcsfontosságú.
- A tehetséghiány számokban: A SEMI (az iparági szövetség) 2022 végén úgy becsülte, hogy 2030-ra az iparág világszerte mintegy 300 000 képzett munkavállaló hiányával nézhet szembe, ha a jelenlegi trendek folytatódnak. Ez mindent magában foglal a PhD-s kutatóktól a berendezés-karbantartó technikusokig. A legnagyobb hiány a berendezésmérnökök, a gyártási folyamatmérnökök és az EDA szoftverspecialisták körében van. Az olyan EDA cégek, mint a Synopsys, szintén több algoritmus- és mesterséges intelligencia-szakértőt keresnek, hogy előmozdítsák a következő generációs tervezőeszközöket (amelyek már AI-t is használnak – chipek terveznek chipeket!). Egy másik szegmens a technikusi szintű állások – azok, akik 2 éves technikusi végzettséggel működtetik és tartják karban a gyártóberendezéseket. Az olyan országok, mint az USA, az elmúlt évtizedekben alulfinanszírozták az ilyen szerepekre irányuló szakképzést, így ennek az utánpótlásnak az újjáépítése kulcsfontosságú.
- Nemzetközi együttműködés vs. korlátozások: Érdekes módon, bár a tehetségigény globális, egyes szabályozások bonyolítják a munkaerő mozgását. Az amerikai export-szabályok nemcsak a hardvert, hanem a személyes tudást is korlátozzák (az amerikai személyeknek engedélyre van szükségük bizonyos kínai gyárakkal való munkához). Ez korlátozhatja azoknak a szakértőknek a körét, akik hajlandóak vagy képesek bizonyos helyeken dolgozni, gyakorlatilag szegmentálva a munkaerőpiacot. Másrészt a szövetséges országok fontolgatják a tehetségmegosztás lehetőségeit – például egy „tehetségcsere” programot amerikai és tajvani gyárak között a mérnökök közös képzésére, vagy az EU és az USA kölcsönös képesítés-elismerését, hogy a mérnökök könnyebben mozoghassanak projektekre.
- Kompenzáció és verseny: A tehetséghiány a szektorban növekvő fizetéseket eredményezett, ami jó a munkaerő bevonzására, de a vállalatok költségeit is növeli. 2021-2022-ben egyes félvezetőipari cégek jelentős fizetésemeléseket vagy bónuszokat adtak, hogy megtartsák dolgozóikat. A hírek szerint a TSMC 2022-ben 20% feletti fizetésemelést kínált az elcsábítási kísérletek közepette. Olyan régiókban, mint India, ahol hagyományosan alacsonyabb volt a chiptervezők bére, a multinacionális cégek most sokkal magasabb csomagokat kínálnak, hogy a tehetségeket ne veszítsék el a versenytársak vagy a külföld irányába. Mindez nagyszerű a szakembereknek, de szűkítheti a profitmarzsokat, vagy befolyásolhatja, hol terjeszkednek a cégek (olyan régiókat kereshetnek, ahol jó az oktatási rendszer, de még mindig elfogadhatóak a munkaerőköltségek – ezért néz például az Intel és mások Ohióra vagy New York állam északi részére a túlforró munkaerőpiacok helyett).
Összefoglalva, a félvezetőipari tehetséghiány kritikus korlátja az iparág ambiciózus bővítési terveinek. Van benne némi irónia: milliárdokat költhetünk vadonatúj gyárakra, de ha nincs hozzáértő munkaerő, ezek csak üres héjak maradnak. Ahogy az SIA elnöke mondta 2022-ben: „Nem lehet újjáéleszteni a gyártást a munkaerő újjáélesztése nélkül”. A következő években összehangolt erőfeszítések várhatók, hogy inspirálják és képezzék a chip-szakértők következő generációját. Ez jelentheti a mérnöki tantervek frissítését több félvezetőgyártási tartalommal, vonzó ösztöndíjak kínálását, sőt, akár a STEM területek népszerűsítését már középiskolai szinten is, hogy a diákok inkább a „következő 1 milliárd tranzisztoros chip” megépítésében, mintsem a következő alkalmazás megírásában lássák a jövőt.
Addig is a vállalatok átmeneti megoldásokat alkalmaznak: átképezik a szomszédos iparágak mérnökeit, visszahívják a nyugdíjasokat tanácsadónak, és több automatizálást, illetve mesterséges intelligenciát használnak a gyárak munkaerőigényének csökkentésére. A kormányok is módosíthatják a bevándorlási szabályokat – például az USA zöldkártyát adhatna az amerikai egyetemeken releváns PhD-t szerzőknek, hogy az országban tartsák őket.
A tét nagy: ha a tehetséghiányt nem kezelik, az szűk keresztmetszetté válhat, ami lassítja az innováció és a kapacitásbővítés ütemét, aláásva a több milliárd dolláros chip-kezdeményezések céljait. Ezzel szemben, ha sikerül új tehetséghullámot inspirálni a mikroelektronikába, az emberi tőke fenntarthat egy új aranykort a félvezetőiparban. Ahogy egy szakértő tréfásan megjegyezte: „A chipipar legfontosabb vagyona nem a szilícium, hanem az agyak.” És annak biztosítása, hogy elegendő ilyen „agy” dolgozzon a félvezetőkön, ugyanolyan létfontosságú, mint a jelentésben tárgyalt bármely más tényező.
A félvezetőket gyakran nevezik a „technológia DNS-ének”, és ez a részletes áttekintés világossá teszi, miért. Attól kezdve, hogyan működnek fizikailag, a gyártás bonyolult globális táncán át, egészen a jövőjüket alakító stratégiai és emberi kihívásokig – a chipek a tudomány, a gazdaság és a geopolitika metszéspontjában helyezkednek el. 2025-re a világ ráébredt arra a valóságra, hogy aki vezet a félvezetőgyártásban, az vezeti a modern gazdaságot. Ezért látunk egyszerre több milliárd dolláros beruházásokat, nemzetközi versengést a tehetségekért és alapanyagokért, valamint lélegzetelállító innovációt.
A nagyközönség számára mindez távolinak tűnhet – egészen addig, amíg már nem az. Egy chiphiány miatt drágulhatnak az autók vagy válhatnak elérhetetlenné a kütyük; egy szakpolitikai változás eldöntheti, hogy a következő okostelefon forradalmi vagy elmaradott processzort kap-e. A jó hír az, hogy 2024-ben és 2025-ben is ömlenek a befektetések a ellátási lánc megerősítésébe és újragondolásába, izgalmas új technológiák vannak a láthatáron, és az iparági szakértők együttműködnek a szűk keresztmetszetek megoldásán a litográfiától a munkaerő-képzésig. A félvezetőgyártás története valóban a folyamatos megújulásról szól – épp amikor úgy tűnik, elértük a határt, a mérnökök új utat találnak (legyen az 3D chip, EUV vagy valami, ami még csak most jön).A következő években érdemes figyelni néhány dologra: Gyorsan eredményt hoznak-e az amerikai és európai gyárépítési projektek? Kína eléri-e ambiciózus önellátási céljait a szankciók ellenére? A Moore-törvény utódai, mint a chipletek, továbbra is hozzák a teljesítménynövekedést? Zöldebbé válhat-e az iparág, és képes lesz-e sokszínű tehetségeket vonzani? A válaszok nemcsak a használt technológiát, hanem a 21. század geopolitikai és gazdasági térképét is alakítják majd.
Egy dolog biztos: ezek az apró chipek hatalmas jelentőségre tettek szert. A „chip-háborúk” és a szilíciumverseny folytatódni fognak, de ideális esetben olyan verseny formájában, amely ösztönzi az innovációt, és olyan együttműködés révén, amely biztosítja a stabilitást. Végső soron minden fogyasztó és minden ország nyerhet, ha a félvezető-ökoszisztéma élénk, biztonságos és fenntartható marad. Ahogy láttuk, ehhez mindent ügyesen kell kezelni az atomoktól a kereskedelempolitikáig. A világ úgy figyeli – és fektet be ebbe a szektorba –, mint még soha.
Akik szeretnének többet megtudni vagy naprakészek maradni a fejleményekkel kapcsolatban, itt találhatnak néhány nyilvános forrást és további olvasnivalót a félvezetőgyártásról és iparági trendekről:
- Semiconductor Industry Association (SIA) – State of the Industry Reports: Részletes éves jelentések a legfrissebb eladási, befektetési és szakpolitikai adatokkal deloitte.com.
- Deloitte’s Semiconductor Outlook 2025: Piaci trendek elemzése, beleértve a mesterséges intelligencia iránti kereslet, a tehetséghiány és a geopolitika hatásait deloitte.comdeloitte.com.
- „Chip War” – Chris Miller: Nagyon ajánlott könyv, amely történelmi kontextust ad az USA–Kína félvezető-versenyhez, és bemutatja, hogyan jutottunk idáig.
- EE Times és Semiconductor Engineering: Szakmai kiadványok, amelyek napi híreket közölnek technológiai áttörésekről, ellátási lánc problémákról és vállalati tervekről – kiváló forrás a 3nm/2nm fejlesztések, új chip-architektúrák stb. követéséhez.
- World Economic Forum & Ceres jelentések a félvezetőipar fenntarthatóságáról: Ezek a chipgyártás környezeti hatásait és a víz- és energiafelhasználás problémáinak kezelésére tett lépéseket tárgyalják weforum.org, blog.veolianorthamerica.com.
- Vállalati weboldalak és blogok (TSMC, Intel, ASML): Számos iparági vezető tesz közzé oktatási anyagokat vagy frissítéseket (pl. az Intel 2030-as RISE fenntarthatósági céljai, az ASML technikai tájékoztatói az EUV-ról).
Ezeknek a forrásoknak a követésével valós időben figyelhetjük, ahogy a félvezetőgyártás drámai folyamata kibontakozik – egy olyan dráma, amely a legmodernebb innovációt ötvözi a nagy téttel járó globális stratégiával. Nem túlzás azt mondani, hogy a jövő chip-alapú lesz, ezért ennek a területnek a megértése egyre fontosabb mindenkinek, akit érdekel, merre tart a világ.
A félvezetők lehetnek aprók, de a modern világ súlyát hordozzák – és most fellebbentettük a fátylat arról, hogyan készülnek, kik gyártják őket, és miért váltak az izgalom és a feszültség középpontjává a globális színtéren. steveblank.com
___________________________________________________
2025-ös félvezetőipari kilátások | Deloitte Insights
Fenntartható jövő építése a félvezetőipar számára
Steve Blank A félvezető-ökoszisztéma – Magyarázat
Mi az a félvezető, és mire használják? | Meghatározás a TechTarget-től
A CHIPS megértése, első rész: A félvezetőgyártás kihívása | Bipartisan Policy Center
Vezető félvezetőgyártó országok 2020-2030: Termelési és export statisztikák | PatentPC
A 43 milliárd eurós EU Chips Act zöld utat kapott. – TechHQ
Chips Act: A Tanács végső jóváhagyását adja – Consilium.europa.eu
A kihívások lehetőségekké alakítása a globális félvezetőiparban…
A TSMC Japán chipgyártási képességeit díjazza az USA hibái után, állítják források | Reuters
A CHIPS megértése, első rész: A félvezetőgyártás kihívása | Bipartisan Policy Center
A chiplet-váltás: Fejlődő interfész-szabványok és kereskedelmi …
CHIPS K+F programok – Félvezetőipari Szövetség
A Moore-törvény vége nem lassítja le a változás ütemét
A globalizáció véget ért, TSMC-alapító szerint • The Register
Az ASML vezérigazgatója szerint az USA Kína elleni exportkorlátozási szándéka ‘gazdasági indíttatású’ | Reuters
2025. évi iparági jelentés: Befektetés és innováció a … közepette
A CHIPS megértése, első rész: A félvezetőgyártás kihívása | Bipartisan Policy Center
Az előrejelzett 1 billió amerikai dolláros félvezető-ellátás egyharmada (32%) …
Félvezetőgyártás és a nagy technológiai cégek vízproblémája | Világgazdasági Fórum
Fenntartható jövő építése a félvezetőipar számára
Félvezetőgyártás és a nagy technológiai cégek vízproblémája | Világgazdasági Fórum
A TSMC megállapodást kötött az arizonai szakszervezettel a 40 milliárd dolláros chipgyár projektről