Guerre dei chip da mille miliardi di dollari: dentro il mondo ad alto rischio della produzione globale di semiconduttori

Settembre 22, 2025
Trillion-Dollar Chip Wars: Inside the High-Stakes World of Global Semiconductor Production
Inside the High-Stakes World of Global Semiconductor Production
  • Nel 2024, le vendite globali di semiconduttori sono salite a oltre 600 miliardi di dollari e potrebbero raggiungere 1 trilione all’anno entro il 2030.
  • L’M1 Ultra di Apple contiene 114 miliardi di transistor su un singolo chip.
  • ASML è l’unico produttore di scanner per litografia EUV, con ogni macchina che pesa circa 180 tonnellate e costa oltre 300 milioni di dollari.
  • TSMC ha rappresentato circa il 55% del mercato globale delle fonderie nel 2023, Samsung circa il 15–20%, e solo Taiwan deteneva circa il 92% della capacità produttiva mondiale di chip più avanzati (<10nm).
  • I primi tre fornitori di Electronic Design Automation—Synopsys, Cadence e Siemens EDA—dominano il software di progettazione utilizzato per disporre miliardi di transistor.
  • La carenza di chip del 2021 ha portato a una perdita stimata di 210 miliardi di dollari nelle vendite di auto.
  • Il CHIPS Act degli Stati Uniti (2022) prevede 52,7 miliardi di dollari in finanziamenti diretti per la produzione nazionale di chip, più il 25% di crediti d’imposta sugli investimenti.
  • L’European Chips Act (2023) mira a mobilitare 43 miliardi di euro per raddoppiare la quota europea di produzione di chip al 20% entro il 2030.
  • La produzione globale di chip ha emesso circa 190 milioni di tonnellate di CO2-equivalente nel 2024, e una singola fab moderna può consumare circa 100 MW di energia in modo continuo.
  • A metà 2024, il 55% della forza lavoro statunitense nei semiconduttori aveva più di 45 anni, evidenziando una carenza di talenti imminente.

I semiconduttori – quei minuscoli chip di silicio – sono i cervelli dell’elettronica moderna, presenti in tutto, dagli smartphone e automobili ai data center e ai caccia. Nel 2024, le vendite globali di semiconduttori sono salite a oltre 600 miliardi di dollari e potrebbero raggiungere 1 trilione di dollari entro il 2030, sottolineando quanto i chip siano diventati fondamentali per l’economia mondiale deloitte.com, blog.veolianorthamerica.com. Questi microchip rendono possibili prodotti e servizi a valle per trilioni di dollari, formando la base nascosta della nostra vita digitale steveblank.com. Eppure, negli ultimi due anni, la produzione di semiconduttori è diventata un settore ad alto rischio di innovazione e tensione geopolitica. Una carenza di chip alimentata dalla pandemia ha mostrato quanto possa essere fragile la catena di approvvigionamento, fermando le fabbriche e facendo salire i prezzi. Allo stesso tempo, le nazioni stanno correndo per aumentare la produzione nazionale di chip per motivi economici e di sicurezza, investendo centinaia di miliardi in nuove fab (impianti di produzione di chip) e innescando una “guerra dei chip” globale.

Questo rapporto offre un panorama completo e aggiornato del mondo dei semiconduttori – spiegando cosa sono i semiconduttori e come funzionano, come vengono prodotti i chip dall’inizio alla fine, chi sono i principali attori (aziende e paesi) in ogni fase e dove si trovano le vulnerabilità nella catena di approvvigionamento. Approfondiremo anche le tecnologie e i materiali all’avanguardia che rendono possibili i chip moderni, le ultime innovazioni e tendenze di R&S, e le battaglie geopolitiche e politiche che stanno rimodellando il settore. Infine, esamineremo l’impatto economico del settore dei semiconduttori, la sua impronta ambientale e le imminenti sfide per la forza lavoro. Dalle ultime analisi degli esperti agli sviluppi chiave del 2024-2025, questo rapporto illustrerà perché la produzione di semiconduttori è oggi uno dei settori più importanti – e contesi – al mondo.

Cosa sono i semiconduttori e come funzionano?

I semiconduttori sono materiali (come il silicio) che possono comportarsi come conduttori o isolanti elettrici a seconda delle condizioni, rendendoli perfetti per controllare la corrente elettrica techtarget.com. In termini pratici, un dispositivo a semiconduttore (chip) è essenzialmente una rete di minuscoli interruttori elettrici (transistor) che possono essere accesi o spenti da segnali elettrici. I circuiti integrati moderni racchiudono miliardi di questi interruttori a transistor su un chip grande quanto un’unghia, permettendo calcoli complessi ed elaborazione di segnali. “In parole semplici, un semiconduttore è un interruttore elettrico che può essere acceso e spento dall’elettricità. La maggior parte della tecnologia moderna è composta da milioni di questi minuscoli interruttori interconnessi,” spiega un manuale di ingegneria di TechTarget techtarget.com.

Poiché possono controllare con precisione il flusso di corrente, i chip a semiconduttore fungono da “cervello” o “memoria” dei dispositivi elettronici. I chip logici (come CPU, GPU, acceleratori AI) elaborano dati e prendono decisioni, i chip di memoria immagazzinano informazioni, e i chip analogici/di potenza interagiscono con il mondo fisico. Dopingando i cristalli puri di semiconduttore con minuscole impurità, i produttori creano componenti come transistor, diodi e circuiti integrati che sfruttano la fisica quantistica per commutare e amplificare i segnali elettrici techtarget.com. Il risultato è che i semiconduttori possono eseguire operazioni aritmetiche, memorizzare dati binari e interfacciarsi con sensori/attuatori – capacità che sono alla base di praticamente tutta la tecnologia moderna, dalle comunicazioni digitali agli elettrodomestici e alle apparecchiature mediche steveblank.com.

I chip di oggi sono straordinarie opere di ingegneria. Un processore all’avanguardia può contenere decine di miliardi di transistor incisi nel silicio, con caratteristiche piccole come pochi nanometri (su scala atomica). Ad esempio, il chip M1 Ultra di Apple racchiude 114 miliardi di transistor su un singolo pezzo di silicio bipartisanpolicy.org. Questi transistor si accendono e spengono a velocità di gigahertz, permettendo al dispositivo di eseguire miliardi di operazioni al secondo. In breve, i semiconduttori sono diventati la tecnologia fondamentale del mondo moderno, alimentando tutto, dagli smartphone e automobili ai server cloud e ai macchinari industriali. Si dice spesso che “i semiconduttori sono il nuovo petrolio” – una risorsa essenziale da cui dipendono nazioni e industrie per il progresso e la sicurezza.

Come vengono prodotti i chip: il processo di produzione dei semiconduttori

Costruire un microchip è uno dei processi produttivi più complessi mai ideati – “un’attività che manipola i materiali un atomo alla volta” in fabbriche che costano decine di miliardi di dollari steveblank.com. Tutto inizia con le materie prime e termina con i chip finiti confezionati per l’uso. Ecco una panoramica del processo di fabbricazione dei chip end-to-end:

  1. Dal silicio grezzo al wafer: La comune sabbia (biossido di silicio) viene raffinata in silicio puro. Un lingotto di cristallo di silicio viene fatto crescere e poi tagliato in sottili wafer (dischi circolari) che conterranno migliaia di chip bipartisanpolicy.org. Ogni wafer appare lucido e liscio, ma a livello microscopico è un reticolo perfetto di atomi di silicio.
  2. Fabbricazione front-end: La vera magia avviene nella “fab” in camera bianca, dove vengono costruiti i circuiti complessi su ogni wafer. La fabbricazione dei chip prevede centinaia di passaggi precisi, ma le fasi chiave includono: deposizione di strati di materiale ultra-sottili sul wafer; rivestimento con fotoresist; fotolitografia (utilizzo di luce focalizzata per incidere minuscoli schemi sul wafer tramite maschere, come stampare il progetto di un circuito); incisione e drogaggio (rimozione di materiale e impianto di ioni per formare transistor e interconnessioni); e ripetizione di questi passaggi strato dopo strato bipartisanpolicy.org. I transistor – in sostanza gli interruttori on/off – vengono costruiti da questi strati modellati che creano percorsi elettrici microscopici. Questa è la fabbricazione su scala nanometrica – i chip moderni possono avere più di 50 strati di circuiteria e caratteristiche larghe solo 3 nm (nanometri). Ogni passaggio deve essere controllato con precisione atomica; una particella di polvere o un leggero disallineamento può rovinare il chip.
  3. Back-End e Packaging: Dopo la fabbricazione del front-end, il wafer finito contiene una griglia di molti chip individuali (die). Il wafer viene tagliato in chip separati, e ogni chip viene poi incapsulato. Il packaging consiste nel montare il chip fragile su un substrato, collegarlo a minuscoli contatti d’oro o rame, e incapsularlo (spesso con una resina protettiva e un dissipatore di calore) così che possa essere maneggiato e integrato su schede elettroniche bipartisanpolicy.org. Il chip incapsulato è quello che viene saldato sulla scheda madre del tuo telefono o sulla scheda di un PC. I chip vengono anche sottoposti a rigorosi test in questa fase per garantire che funzionino come previsto.

Nonostante il riassunto semplificato sopra, la produzione di semiconduttori avanzati è un processo estremamente complesso, che dura diversi mesi. Un chip all’avanguardia può richiedere oltre 1.000 fasi di processo e strumentazione di precisione estrema. Ad esempio, le più recenti macchine per la fotolitografia (che proiettano i circuiti con luce ultravioletta) possono costare oltre 300 milioni di dollari ciascuna, e ciascuna di queste macchine “può consumare tanta elettricità quanto mille abitazioni”, secondo Bloomberg bipartisanpolicy.org. Questi strumenti utilizzano luce ultravioletta estrema (EUV) per scolpire caratteristiche ultra-piccole e sono così sofisticati che solo un’azienda al mondo (ASML nei Paesi Bassi) le produce attualmente patentpc.com. L’investimento di capitale è enorme: costruire una nuova fabbrica di chip può richiedere più di 3 anni e oltre 10 miliardi di dollari di investimentobipartisanpolicy.org. Aziende leader come TSMC, Samsung e Intel spendono decine di miliardi ogni anno per espandere e attrezzare le fabbriche.

Il risultato di tutto questo sforzo è una tecnologia sorprendente: un singolo wafer da 12 pollici, una volta completamente lavorato, può contenere centinaia di chip finiti che insieme racchiudono trilioni di transistorsteveblank.com. Ogni chip viene testato e può eseguire miliardi di calcoli al secondo una volta utilizzato. La scala ridotta e l’alta densità dei chip moderni conferiscono loro una potenza incredibile. Come ha osservato un blog del settore, quel wafer nella cleanroom “ha due trilioni di transistor” realizzati con controllo a livello atomicosteveblank.com. Questa abilità di fabbricazione – continuamente perfezionata nel corso dei decenni – è ciò che rende possibili i nostri dispositivi elettronici potenti e accessibili di oggi.

Principali attori nella catena di fornitura dei semiconduttori (Aziende & Paesi)

La produzione di semiconduttori non è gestita da un solo tipo di azienda; è un ecosistema intricato di imprese, ognuna specializzata in diverse fasi. Se diamo uno sguardo all’interno della catena di approvvigionamento, troviamo una rete di centinaia di attori altamente specializzati a livello globale, tutti dipendenti l’uno dall’altro steveblank.com. Ecco le principali categorie di attori e chi le domina:
  • Progettisti di chip (aziende fabless): Queste aziende progettano chip semiconduttori ma esternalizzano la produzione vera e propria. Creano i progetti e la proprietà intellettuale dei chip. Molti dei marchi di chip più noti al mondo – tra cui Apple, NVIDIA, Qualcomm, AMD, Broadcom – sono progettisti fabless. Gli Stati Uniti hanno una forte leadership in questo segmento (ospitano circa il 50% delle aziende fabless patentpc.com), insieme ad aziende in Europa (ad es. ARM nel Regno Unito per i core IP dei chip steveblank.com) e in Asia. Le aziende fabless si concentrano su R&S e innovazione nell’architettura dei chip, poi assumono produttori a contratto per realizzare i chip.
  • Produttori di dispositivi integrati (IDM): Questi sono giganti come Intel, Samsung e Micron che progettano e producono chip internamente. Intel (USA) ha storicamente guidato la progettazione/fabbricazione di microprocessori per PC e server, Samsung (Corea del Sud) e Micron (USA) lo fanno soprattutto nei chip di memoria. Gli IDM controllano le proprie fabbriche e producono chip per i propri prodotti (e talvolta per altri). Tuttavia, la tendenza negli ultimi decenni è stata uno spostamento verso il modello fabless-foundry per maggiore efficienza.
  • Fonderie di semiconduttori (produttori conto terzi): Le fonderie sono le fabbriche di chip che effettivamente producono chip (per clienti fabless o IDM che esternalizzano parte della produzione). Questo segmento è dominato da aziende asiatiche. TSMC di Taiwan (Taiwan Semiconductor Manufacturing Co.) è il leader indiscusso, controllando da sola circa il 55% del mercato globale delle fonderie nel 2023 patentpc.com. TSMC è il produttore di riferimento per Apple, AMD, NVIDIA e molti altri, soprattutto per i chip più avanzati (nodi a 5nm, 3nm). Samsung in Corea del Sud è la seconda fonderia più grande (con una quota intorno al 15–20%) patentpc.com, producendo anch’essa chip logici avanzati. Altre fonderie di rilievo includono GlobalFoundries (Stati Uniti, focalizzata su nodi di fascia media), UMC (Taiwan) e SMIC (la più grande fonderia cinese). Da notare che Taiwan e Corea del Sud insieme rappresentano la stragrande maggioranza della produzione di chip all’avanguardia – infatti, circa il 92% della capacità produttiva mondiale di chip più avanzati (<10nm) si trova solo a Taiwan, secondo un rapporto del governo USA del 2023 usitc.gov. Questo evidenzia quanto la produzione di chip sia diventata concentrata in poche località.
  • Produttori di chip di memoria: La memoria è un sotto-settore specializzato, ma vitale (per RAM, memoria flash, ecc.). È dominato da IDM come Samsung e SK Hynix (entrambi sudcoreani) e Micron (USA). Ad esempio, Samsung e SK Hynix insieme producono oltre il 70% dei chip di memoria DRAM mondiali patentpc.com. Queste aziende investono molto nella fabbricazione di DRAM e memoria flash NAND, spesso in enormi stabilimenti in Corea del Sud, Taiwan, USA, Giappone e Cina.
  • Fornitori di apparecchiature per semiconduttori: Queste aziende costruiscono strumenti e macchinari per la fabbricazione di chip – un settore assolutamente critico e ad alta tecnologia di per sé. I principali produttori di apparecchiature includono ASML (Paesi Bassi), che produce in esclusiva sistemi di litografia EUV essenziali per chip a 7nm e inferiori patentpc.comApplied Materials, Lam Research, KLA (tutte statunitensi), che forniscono apparecchiature per deposizione, incisione e ispezione; Tokyo Electron e Nikon (Giappone) per strumenti di litografia e incisione; e altri. Senza queste macchine all’avanguardia, le fonderie non possono operare. Stati Uniti, Giappone e Paesi Bassi storicamente dominano il settore delle apparecchiature per semiconduttori – uno dei motivi per cui le restrizioni all’export su questi strumenti sono diventate una questione geopolitica (ne parleremo più avanti).
  • Fornitori di Materiali e Prodotti Chimici: La produzione di chip si basa anche su una complessa fornitura di materiali specializzati – da wafer di silicio ultrapuro a sostanze chimiche e gas esotici. Alcuni esempi: Shin-Etsu Handotai e SUMCO (Giappone) producono una grande quota dei wafer di silicio mondiali. JSR, Tokyo Ohka Kogyo (Giappone) e altri forniscono fotoresist (sostanze chimiche fotosensibili) steveblank.com. Aziende di gas industriali come Linde, Air Liquide forniscono oltre 100 tipi di gas utilizzati nelle fabbriche (ad es. fluoro, neon, argon) steveblank.com. Molti di questi materiali critici sono concentrati in Giappone, Cina ed Europa. Ad esempio, il Giappone è da tempo un punto di forza nei prodotti chimici per semiconduttori, mentre la Cina raffina molti minerali rari usati nei chip (come gallio e germanio). Questo significa che i paesi che dominano le materie prime (Cina, Russia, ecc.) e quelli eccellenti nei prodotti chimici specializzati (Giappone) hanno ruoli sproporzionati nella catena di fornitura.
  • Fornitori di EDA e IP: Prima della produzione, i chip devono essere progettati e verificati. I software di Electronic Design Automation (EDA) sono forniti essenzialmente da tre grandi aziende – Synopsys, Cadence (entrambe statunitensi) e Siemens EDA (Mentor Graphics) – tutte aziende americane o alleate degli USA steveblank.com. Detengono quasi il monopolio sul software complesso utilizzato dagli ingegneri per disporre miliardi di transistor ed eseguire simulazioni. Inoltre, i progetti base (come i core CPU) sono spesso concessi in licenza da aziende IP come ARM (Regno Unito) che fornisce progetti di riferimento utilizzati nella maggior parte dei processori mobili steveblank.com. Questi attori a monte sono abilitatori fondamentali per l’intero settore.
  • Outsourced Semiconductor Assembly and Test (OSAT): Una volta prodotti i wafer, appaltatori specializzati si occupano del packaging e del collaudo dei chip. Le principali aziende OSAT includono ASE Technology Holding (Taiwan) – il più grande confezionatore al mondo – e Amkor (USA), oltre a molte con sede in Cina, Malesia e Vietnam. In effetti, il Sud-est asiatico è diventato un polo per l’assemblaggio di chip: ad esempio, la Malesia realizza circa il 13% dei servizi mondiali di packaging e testing dei chip patentpc.com, e il settore OSAT del Vietnam sta crescendo rapidamente patentpc.com. Queste fasi sono ad alta intensità di manodopera e le aziende spesso le localizzano in paesi con forza lavoro qualificata e costi inferiori.
In termini di paesi: nazioni diverse si specializzano in diversi anelli di questa catena. Taiwan è la superstar della fabbricazione di chip, in particolare dei chip logici avanzati – da sola deteneva circa il 65% della quota di mercato delle fonderie nel 2023 patentpc.com ed è indispensabile per i chip all’avanguardia (grazie al dominio di TSMC). Corea del Sud è leader nei chip di memoria e anche nelle fonderie (Samsung), rappresentando circa il 20% della produzione globale di chip patentpc.com. Gli Stati Uniti rimangono leader nella progettazione di chip (sede di molti giganti fabless e IDM come Intel) e in alcune apparecchiature di produzione, ma la quota statunitense della produzione effettiva è scesa dal 37% nel 1990 a circa 12% nel 2023 patentpc.com poiché la produzione si è spostata in Asia. Questo declino è ciò che il governo degli Stati Uniti ora mira a invertire tramite incentivi (maggiori dettagli sotto). Cina è un caso speciale – è il più grande consumatore di chip (assemblando elettronica per il mondo), e produce molti chip a nodo maturo e packaging, ma dipende dalle importazioni per i chip più avanzati. Nel 2023, l’autosufficienza della Cina nei semiconduttori era solo circa il 16% patentpc.com, e ha speso la cifra impressionante di 350 miliardi di dollari in chip importati nel 2022 patentpc.com. Tuttavia, la Cina sta investendo pesantemente per aumentare la produzione interna al 70% entro il 2030 patentpc.com, sviluppando aziende come SMIC e YMTC (memoria). Giappone era un produttore di chip dominante negli anni ‘80 ed è ancora un attore importante nei materiali e nelle apparecchiature. Oggi il Giappone sta rientrando nella produzione tramite partnership (ad esempio TSMC sta costruendo una fonderia in Giappone, e un nuovo consorzio Rapidus punta a produrre chip a 2nm a livello nazionale), sfruttando la sua forza nella produzione di qualità e il supporto governativo. Europa (UE) ha alcuni produttori di chip (ad esempio Infineon in Germania per i chip automobilistici, STMicroelectronics in Francia/Italia, NXP nei Paesi Bassi) ed è sede di ASML, ma nel complesso la quota europea della produzione globale di chip è intorno all’8-10% techhq.com. L’UE sta cercando di raddoppiare questa quota entro il 2030 (fino a circa il 20%) tramite il proprio Chips Act e attirando TSMC e Intel a costruire fonderie in Europaconsilium.europa.eu. Oltre a questi, paesi come Malesia, Vietnam, Thailandia, Filippine giocano ruoli cruciali nell’assemblaggio e nel collaudo (fornendo resilienza e diversificazione nelle fasi successive della catena di approvvigionamento) patentpc.com. Anche nuovi aspiranti come India e Arabia Saudita hanno annunciato grandi investimenti per entrare nell’arena dei semiconduttori (l’India offre incentivi per le fabbriche, e l’Arabia Saudita prevede 100 miliardi di dollari entro il 2030 per costruire un’industria dei chip) patentpc.com.

In sintesi, la produzione di semiconduttori è uno sforzo distribuito a livello globale, ma con punti critici di strozzatura – poche aziende o paesi guidano ciascun segmento. Ad esempio, solo tre aziende (TSMC, Samsung, Intel) producono la grande maggioranza dei chip avanzati, e solo tre paesi (Taiwan, Corea del Sud, Cina) producono quasi tutti i chip oggi patentpc.com. Questa struttura concentrata ha grandi implicazioni per la sicurezza della catena di approvvigionamento, come vedremo di seguito.

Struttura e vulnerabilità della catena di approvvigionamento

La catena di approvvigionamento dei semiconduttori è stata definita “la catena di approvvigionamento più complessa di qualsiasi industria” usitc.gov – e gli eventi recenti hanno mostrato quanto possa essere fragile. Dai disastri naturali ai conflitti geopolitici, una serie di vulnerabilità minaccia il regolare flusso dei chip. I principali punti di strozzatura e rischi includono:

  • Forte concentrazione geografica: Il raggruppamento geografico dell’industria significa che un’interruzione in una regione può bloccare il mondo intero. Questo è particolarmente evidente nel ruolo sproporzionato di Taiwan. Sebbene Taiwan produca circa il 18% di tutti i chip per volume, rappresenta “circa il 92% della capacità produttiva mondiale di chip più avanzati,” secondo un rapporto USITC del 2023 usitc.gov. In altre parole, quasi tutti i chip all’avanguardia (sotto i 10nm) provengono da Taiwan (principalmente TSMC), con il resto dalla Corea del Sud. Questo rappresenta un enorme rischio per la fornitura – qualsiasi interruzione (un terremoto, una crisi geopolitica) potrebbe paralizzare le catene di approvvigionamento tecnologiche globali usitc.gov. Infatti, gli esperti sottolineano che una grave interruzione delle fabbriche di Taiwan sarebbe una catastrofe economica ben oltre il settore tecnologico. La Corea del Sud è un altro punto di vulnerabilità: ad esempio, quasi tutti i chip di memoria di fascia alta provengono da due aziende lì. Per questo motivo, paesi e aziende stanno ora cercando di diversificare la produzione a livello geografico (un passaggio dalla globalizzazione alla “regionalizzazione”) nefab.com, ma costruire nuove fabbriche altrove richiede tempo.
  • Dipendenze da fornitori unici: Alcuni input critici dipendono da fornitori unici o molto limitati. Un esempio emblematico è ASML – l’azienda olandese è l’unica fonte di macchine per litografia EUV necessarie per i chip di fascia alta patentpc.com. Se ASML non può spedire gli strumenti (a causa di divieti di esportazione o problemi produttivi), il progresso dei chip si blocca. Allo stesso modo, alcune sostanze chimiche chiave hanno spesso solo pochi fornitori qualificati. Ad esempio, poche aziende giapponesi forniscono la maggior parte delle sostanze chimiche fotoresistenti a livello globale. Il software avanzato per la progettazione di chip (EDA tools) è un altro collo di bottiglia, dominato da soli tre fornitori statunitensi. Questi punti di concentrazione significano che l’intera catena è forte solo quanto il suo anello più debole (o più stretto).
  • Rischi legati a materiali e risorse naturali: La fabbricazione di semiconduttori dipende da alcuni materiali rari e sostanze chimiche raffinate – e shock nell’approvvigionamento di questi hanno già causato problemi. La guerra Russia–Ucraina del 2022 lo ha dimostrato: l’Ucraina forniva circa il 25–30% del gas neon purificato mondiale (utilizzato per la litografia laser), e la Russia una quota simile del palladio mondiale (usato in alcuni processi dei chip) usitc.gov. Quando la guerra ha interrotto queste forniture, la produzione di chip è stata minacciata fino a quando non sono state trovate fonti alternative usitc.gov. Un altro esempio è arrivato a metà 2023: la Cina ha risposto alle restrizioni tecnologiche statunitensi vietando l’esportazione di gallio e germanio – due metalli poco noti ma vitali per laser a semiconduttore, chip a radiofrequenza e celle solari deloitte.com. La Cina produce la maggior parte di questi elementi, quindi la mossa ha costretto i produttori a cercare altri fornitori. Questi episodi evidenziano una vulnerabilità: se una singola fonte di un materiale critico si interrompe, può bloccare l’intero processo di fabbricazione dei chip.
  • Complessità estrema e tempi di consegna lunghi: Possono volerci mesi per produrre un lotto di chip e anni per costruire una nuova fabbrica da zero. Questo lungo tempo di attesa significa che la catena di approvvigionamento non può riprendersi rapidamente dalle interruzioni. Durante la pandemia di COVID-19, ad esempio, un rapido aumento della domanda combinato con le chiusure ha portato a una grave carenza di chip nel 2021, che ha richiesto oltre un anno per essere gradualmente risolta usitc.gov. La carenza ha colpito particolarmente duramente i produttori di automobili – le fabbriche si sono fermate e l’industria automobilistica ha perso una stima di 210 miliardi di dollari di vendite nel 2021 a causa della mancanza di chip usitc.gov. La natura complessa e just-in-time della fornitura di chip (con scorte minime) significa che anche un piccolo problema – un incendio in una fabbrica giapponese, un’ondata di gelo in Texas che blocca gli impianti, o una siccità a Taiwan che riduce la fornitura d’acqua – può causare ritardi nella produzione globale. Abbiamo visto questo con un incendio in una fabbrica di chip automobilistici Renesas nel 2021 e interruzioni di corrente nelle fabbriche texane nello stesso anno, ciascuno dei quali ha causato ritardi a valle nei prodotti.
  • Catena “just-in-time” fragile: Per anni, l’efficienza ha spinto le aziende a mantenere basse le scorte e a fare affidamento su forniture in tempo reale. Ma ciò ha lasciato nessun margine per le interruzioni. La catena globalizzata era ottimizzata per i costi, non per la resilienza. Ora, con le lezioni della pandemia, aziende e governi stanno spingendo per la “resilienza” – costruendo più scorte di chip o materie prime, “friendshoring” la produzione in paesi affidabili e approvvigionandosi da più fornitori per i componenti critici reuters.com. Tuttavia, i cambiamenti sono graduali e costosi.
  • Frammentazione geopolitica: Forse la vulnerabilità emergente più grande è la politicizzazione della catena di approvvigionamento dei chip. La rivalità tecnologica tra Stati Uniti e Cina ha portato a controlli sulle esportazioni e a blacklist che di fatto dividono il mondo in due per quanto riguarda i semiconduttori. “Nel settore dei chip, la globalizzazione è morta. Il libero scambio non è ancora del tutto morto, ma è in pericolo,” ha dichiarato il fondatore di TSMC Morris Chang nel 2023. Nell’ultimo anno, gli Stati Uniti e i loro alleati hanno limitato sempre più l’accesso della Cina alle tecnologie avanzate dei chip, temendo implicazioni per la sicurezza. Questo ha portato la Cina a puntare ancora di più sulle tecnologie indigene e persino a limitare alcune esportazioni in risposta. Il risultato è una catena di approvvigionamento più biforcata – una in cui ecosistemi allineati all’Occidente e alla Cina potrebbero diventare meno interdipendenti. Sebbene ciò possa aggiungere una certa ridondanza, significa anche meno efficienza, costi più elevati e potenziale duplicazione degli sforzi tra due sfere tecnologiche theregister.com. Chang ha affermato senza mezzi termini che “la globalizzazione è quasi morta e il libero scambio è quasi morto” theregister.com, avvertendo che l’era d’oro di una catena globale unificata dei chip sta finendo. Questo periodo di transizione introduce incertezza e rischio, poiché le aziende devono orientarsi tra nuove regole complesse su chi possono vendere e dove possono costruire.

In breve, la catena di approvvigionamento dei semiconduttori è una arma a doppio taglio: la sua natura globale ha portato innovazione e scala straordinarie a basso costo, ma ha anche creato pericolosi punti di vulnerabilità unici. Una siccità a Taiwan o una crisi politica nel Mar Cinese Meridionale non sono solo questioni locali – potrebbero interrompere la produzione di smartphone, automobili e server per data center in tutto il mondo usitc.gov. Questa consapevolezza sta ora guidando enormi sforzi per aumentare la resilienza – dai sussidi governativi per le fabbriche locali alla diversificazione dei fornitori. Ma costruire ridondanza richiede tempo, e nel frattempo il mondo rimane altamente vulnerabile agli shock della catena di approvvigionamento dei semiconduttori.

Materiali e tecnologie chiave nella produzione di chip

L’arte della produzione di chip si basa su una serie di tecnologie all’avanguardia e materiali specializzati. Comprenderli offre una visione del perché la produzione di chip sia così impegnativa (e perché solo pochi attori possano farlo al massimo livello):

  • Wafer di silicio: La maggior parte dei chip è costruita sul silicio, un elemento abbondante le cui proprietà semiconduttrici lo rendono ideale. I lingotti di silicio vengono tagliati in wafer lisci come uno specchio (300 mm di diametro per la maggior parte delle fabbriche avanzate di oggi). Questi wafer sono la tela di partenza per i chip. Produrre cristalli di silicio puri e privi di difetti è di per sé un processo high-tech, padroneggiato solo da poche aziende (principalmente in Giappone). Altri materiali semiconduttori sono utilizzati anche per applicazioni di nicchia: ad es. arseniuro di gallio o fosfuro di indio per chip RF ad alta frequenza, e carburo di silicio (SiC) o nitruro di gallio (GaN) per l’elettronica di potenza (come i controller dei motori dei veicoli elettrici e le stazioni base 5G), grazie alle loro superiori proprietà elettriche ad alte tensioni o frequenze. Questi semiconduttori composti sono fondamentali per il 5G, i veicoli elettrici e l’aerospaziale, e sono in corso sforzi per aumentare la loro produzione (spesso coinvolgendo aziende statunitensi, europee e giapponesi leader nella scienza dei materiali).
  • Tecnologia di fotolitografia: Al centro della moderna fabbricazione dei chip c’è la fotolitografia – l’uso della luce per incidere minuscoli schemi. Questa tecnologia ha raggiunto livelli quasi fantascientifici. Le fabbriche più avanzate oggi utilizzano la litografia a ultravioletti estremi (EUV), che opera a una lunghezza d’onda di 13,5 nm e coinvolge ottiche estremamente complesse, sorgenti luminose al plasma e sistemi a vuoto. Come già detto, ASML è l’unico produttore di scanner EUV patentpc.com. Ogni macchina EUV pesa 180 tonnellate, ha migliaia di componenti (specchi Zeiss, sorgente luminosa al plasma generata da laser, ecc.) e costa oltre 300 milioni di dollaribipartisanpolicy.org. L’EUV consente di realizzare pattern di caratteristiche di circa 7 nm e inferiori con meno passaggi. Per i nodi più vecchi (ad es. 28nm, 14nm), le fabbriche usano la litografia a ultravioletti profondi (DUV) – ancora complessa ma con una base di fornitori un po’ più ampia (ASML, Nikon, Canon forniscono questi strumenti). Il progresso nella litografia è stato il principale motore della Legge di Moore, permettendo il raddoppio della densità dei transistor. Il prossimo passo nella litografia è già in fase di sviluppo: High-NA EUV (lenti a maggiore apertura numerica per pattern ancora più fini) prevista per chip da 2nm e inferiori entro il 2025-2026. Il mondo intero della produzione di chip dipende in gran parte dai progressi in questa tecnologia ottica.
  • Processi Chimici e Gas: Una fab moderna utilizza una sorprendente varietà di sostanze chimiche – da gas come fluoro, argon, azoto, silano a solventi liquidi, acidi e fotoresistenti. Più di 100 diversi gas (molti tossici o altamente specializzati) possono essere utilizzati in varie fasi di deposizione e incisione steveblank.com. I prodotti chimici fotoresistenti sono polimeri fotosensibili stesi sulle wafer per trasferire i disegni dei circuiti – una nicchia dominata da aziende giapponesi steveblank.comLe sospensioni per la Planarizzazione Chimico-Meccanica (CMP) contenenti nano-abrasivi vengono utilizzate per lucidare gli strati delle wafer rendendoli piatti steveblank.com. Anche l’acqua ultrapura deionizzata è un “materiale” critico – le fab consumano enormi volumi per risciacquare le wafer (come discusso nella sezione ambientale). Ogni materiale deve soddisfare requisiti di purezza estremi, perché un singolo atomo o particella di impurità può rovinare miliardi di transistor. Quindi la fornitura di questi materiali è un’impresa high-tech a sé stante, spesso con pochi fornitori qualificati (e quindi vulnerabile a interruzioni come menzionato in precedenza).
  • Tecnologia dei Transistor (Generazioni dei Nodi): I chip sono spesso classificati in base al loro “nodo” o dimensione del transistor – ad es. 90nm, 28nm, 7nm, 3nm, ecc. Più piccolo è generalmente meglio (più transistor per area, maggiore velocità, minore consumo). Come vengono realizzati questi minuscoli transistor? Ciò implica sia la litografia per definire le loro piccole caratteristiche che un’architettura intelligente del transistor. L’industria è passata dai tradizionali transistor piatti (planari) ai FinFET (transistor a pinna 3D) intorno al nodo da 22nm per controllare le perdite. Ora, a ~3nm, viene introdotto un nuovo design chiamato Gate-All-Around (GAA) o transistor nanosheet (il 3nm di Samsung usa GAA, e TSMC/Intel prevedono GAA a 2nm) – questo avvolge completamente il gate del transistor attorno al canale per un controllo ancora migliore. Questi progressi nella struttura del dispositivo, insieme a nuovi materiali (ad es. dielettrici ad alta κ, gate metallici), hanno esteso la Legge di Moore anche se il semplice scaling diventa più difficile bipartisanpolicy.org. Esiste un intero filone di R&S su nuovi materiali a livello di transistor – ad esempio, l’uso di Germanio o materiali 2D (come il grafene) per i canali per aumentare la mobilità, o semiconduttori III-V per determinati strati. Sebbene non ancora in produzione su larga scala per la logica, tali materiali potrebbero apparire nei prossimi anni man mano che i transistor al silicio raggiungono i limiti fisici.
  • Tecnologie di Packaging e Integrazione dei Chip: Poiché la miniaturizzazione dei transistor offre rendimenti decrescenti, l’innovazione si sta spostando verso il packaging e l’integrazione dei chipIl packaging avanzato permette di combinare più chip (chiplet) in un unico package, collegati tramite interconnessioni ad alta densità. Tecniche come CoWoS e SoIC di TSMC, Foveros di Intel e l’architettura chiplet di AMD consentono ai progettisti di combinare diversi “mattoncini” (core CPU, GPU, IO, memoria) in un unico modulo. Questo migliora le prestazioni e il rendimento (i chip più piccoli sono più facili da produrre senza difetti, poi assemblati insieme). Ad esempio, le ultime CPU di AMD utilizzano chiplet, così come la prossima Meteor Lake di Intel. Il 3D stacking è un’altra tecnologia – impilare chip uno sopra l’altro, come la memoria sopra la logica (ad es. stack di memoria HBM ad alta larghezza di banda) per superare i colli di bottiglia di banda. L’industria sta standardizzando le interfacce dei chiplet (UCIe) così che un giorno i chip di diversi fornitori possano essere interoperabili in un package bakerbotts.com. In breve, “i chiplet sono come mattoncini Lego – chip più piccoli e specializzati che possono essere combinati per creare sistemi più potenti,” come ha osservato MIT Tech Review (illustrando una tendenza innovativa importante). Questa rivoluzione nel packaging è una strategia tecnologica chiave per continuare a migliorare le prestazioni dei sistemi anche se la miniaturizzazione dei transistor rallenta.
  • Software di Progettazione & IP: Anche se non è un materiale, vale la pena menzionare gli strumenti EDA (Electronic Design Automation) e i core IP utilizzati per progettare i chip, che sono tecnologie fondamentali a sé stanti. I chip moderni sono così complessi che sta emergendo l’EDA assistita dall’IA – gli strumenti ora sfruttano il machine learning per ottimizzare i layout dei chip e verificare i progetti più rapidamente steveblank.com. Sul fronte IP, progetti core come i core CPU di ARM o i core GPU di Imagination sono tecnologie di base che molte aziende di chip preferiscono licenziare piuttosto che reinventare, fungendo di fatto da mattoncini di base.
  • Paradigmi Computazionali Emergenti: Oltre ai chip digitali tradizionali, si stanno esplorando nuove tecnologie: chip per il calcolo quantistico (che usano qubit fatti di circuiti superconduttori o ioni intrappolati) promettono accelerazioni esponenziali per certi compiti, anche se sono ancora a livello di ricerca. I circuiti integrati fotonici usano la luce invece dell’elettricità per le comunicazioni e potenzialmente per il calcolo a velocità molto elevate e con poco calore – già utilizzati in alcune infrastrutture di comunicazione. I chip neuromorfici mirano a imitare le reti neurali cerebrali in hardware per applicazioni di IA. Anche se non sono ancora mainstream, la R&S in corso potrebbe renderli parte del panorama dei semiconduttori nei prossimi anni.

In sintesi, produrre semiconduttori richiede la padronanza di un incredibile insieme di tecnologie – dalla scienza dei materiali (crescita di cristalli perfetti, chimica dell’incisione) alla fisica ottica (nanofotonica della litografia) fino all’ informatica (algoritmi di progettazione). Questa complessità spiega perché solo pochi ecosistemi (Taiwan, Corea del Sud, USA, Giappone, Europa) hanno il pieno controllo di queste tecnologie, e perché i ritardatari affrontano ostacoli enormi per recuperare. È anche il motivo per cui i chip sono così difficili da produrre – ma così miracolosi nei risultati che ottengono.

Innovazioni e direzioni di R&S

L’industria dei semiconduttori è guidata da un’innovazione incessante – famosa la sintesi nella Legge di Moore, l’osservazione che il numero di transistor nei chip raddoppia circa ogni due anni. Sebbene la Legge di Moore stia rallentando a causa dei limiti fisici, la ricerca e sviluppo (R&S) nel mondo dei chip è più vivace che mai, esplorando nuovi modi per continuare a migliorare le prestazioni. Ecco alcune delle principali innovazioni e direzioni future al 2024-2025:

  • Spingere il confine dei nodi tecnologici: I grandi attori stanno gareggiando per commercializzare le prossime generazioni di tecnologia dei chip. TSMC e Samsung hanno iniziato la produzione a 3 nanometri nel 2022-2023; ora TSMC prevede fab a 2 nm entro il 2025-2026, e IBM (con Rapidus in Giappone) ha persino dimostrato in laboratorio un prototipo di chip a 2 nm. Intel punta a riconquistare la leadership di processo con nodi chiamati 20A e 18A (circa equivalenti a 2 nm) entro il 2024-2025, integrando transistor GAA a nastro (“RibbonFET”). Ogni riduzione di nodo richiede enormi investimenti in R&S – nuove tecniche di litografia, nuovi materiali (come cobalto o rutenio per gli interconnettori, isolanti innovativi) e più strati EUV. Si parla persino di processi sotto 1 nm (la cosiddetta scala degli angstrom) più avanti nel decennio, anche se a quel punto le etichette “nm” saranno per lo più marketing – le dimensioni reali delle feature potrebbero essere di pochi atomi di spessore.
  • Architetture chiplet e modulari: Come accennato, il design basato su chiplet è una delle principali innovazioni da seguire. È già in uso (processori Zen di AMD, il prossimo Meteor Lake di Intel, l’M1 Ultra di Apple che di fatto unisce due M1 Max tramite un interposer), e si sta evolvendo con interfacce standard. Questo approccio modulare consente di riutilizzare blocchi IP, mescolare nodi di processo (ad esempio mettere l’analogico su un chiplet di nodo più vecchio, le CPU su uno più nuovo) e ottenere rese migliori. Il consorzio UCIe (Universal Chiplet Interconnect Express), nato nel 2022, sta sviluppando standard aperti affinché, potenzialmente, un’azienda possa acquistare componenti chiplet preassemblati e integrarli – come collegare mattoncini Lego. Nel 2024, vediamo i chiplet abilitare combinazioni sempre più specializzate, come l’integrazione di acceleratori AI o stack di memoria HBM per scalare facilmente le prestazioni bakerbotts.com. In futuro, questo potrebbe cambiare drasticamente il modo in cui i chip vengono progettati e chi può produrli (abbassando le barriere d’ingresso per nuovi attori che possono concentrarsi su una sola nicchia di chiplet).
  • Intelligenza Artificiale (IA) e Chip Specializzati: La crescente domanda di calcolo per l’IA (ad esempio, l’addestramento di grandi reti neurali per l’IA generativa) sta guidando l’innovazione nei chip. Le CPU tradizionali sono inefficienti per i carichi di lavoro IA, quindi le GPU (processori grafici) e acceleratori IA (TPU, NPU, ecc.) sono molto richiesti. Nel 2024, abbiamo assistito a una “corsa all’oro dell’IA” nei semiconduttori – le GPU per data center di Nvidia, ad esempio, si vendono appena vengono prodotte, e molte startup stanno progettando chip specifici per l’IA. I chip per IA generativa (che includono CPU, GPU, acceleratori IA specializzati, memoria, networking) probabilmente hanno superato i 125 miliardi di dollari di ricavi nel 2024 – più del doppio delle previsioni iniziali – rappresentando oltre il 20% di tutte le vendite di chip deloitte.com. Questo sta stimolando la R&S su architetture ottimizzate per l’IA: pensa a processori tensoriali, chip neuromorfici, in-memory computing (elaborazione dei dati direttamente nelle matrici di memoria), e persino il calcolo analogico per l’IA. Grandi aziende come NVIDIA, Google (TPU), Amazon (Inferentia) e startup (Graphcore, Cerebras, ecc.) stanno spingendo su design innovativi. La CEO di AMD Lisa Su ha stimato che il mercato totale dei chip legati all’IA potrebbe raggiungere 500 miliardi di dollari entro il 2028 deloitte.com – una cifra superiore all’intero mercato dei semiconduttori del 2023, evidenziando il potenziale trasformativo dell’IA. Tali previsioni stanno guidando enormi investimenti in R&S sui chip IA.
  • Integrazione 3D & Integrazione Eterogenea: Oltre ai chiplet affiancati, la stratificazione 3D (chip impilati uno sopra l’altro) è un altro fronte di sviluppo. L’impilamento della memoria (ad es. HBM sulle GPU) è già comune. Il prossimo passo è impilare chip logici per accorciare le connessioni – ad esempio, posizionando la cache direttamente sopra uno strato di core CPU per un accesso più rapido. Progetti di ricerca stanno esplorando IC 3D con migliaia di interconnessioni verticali (through-silicon vias o persino connessioni inter-die unite a passo nanometrico). L’integrazione eterogenea si riferisce alla fusione di tecnologie diverse (logica CMOS, memoria DRAM, fotonica, ecc.) in un unico package o stack. Il CHIPS Act statunitense sta finanziando impianti avanzati di packaging e integrazione perché questo è visto come la chiave per i futuri progressi quando il semplice scaling rallenta. Nel 2024, Intel ha dimostrato l’impilamento di un chip di calcolo sopra un chip I/O con “PowerVia” per l’alimentazione dal retro tra i due, come parte dei loro prossimi design. Questa è R&S di packaging all’avanguardia.
  • Nuovi Materiali e Paradigmi di Transistor: I ricercatori stanno anche lavorando su tecnologie post-silicio, post-CMOS. Grafene e nanotubi di carbonio possiedono proprietà affascinanti (mobilità elettronica ultra-rapida) che potrebbero permettere transistor molto più piccoli, ma integrarli nella produzione di massa è una sfida. Tuttavia, FET a nanotubi di carbonio sperimentali sono stati dimostrati in chip di laboratorio (il MIT ha realizzato qualche anno fa un microprocessore a 16 bit interamente con transistor a nanotubi di carbonio). I semiconduttori 2D come il disolfuro di molibdeno (MoS₂) sono studiati per canali ultra-sottili. Nel frattempo, la spintronica (che utilizza lo spin degli elettroni per la memoria, come la MRAM), i FET ferroelettrici e i dispositivi quantistici sono aree di ricerca attive che potrebbero migliorare o sostituire la tecnologia attuale per alcune applicazioni. Nessuna di queste raggiungerà la produzione su larga scala nel 2025, ma gli investimenti attuali potrebbero portare a scoperte importanti verso la fine del decennio. Un esempio degno di nota: IBM e Samsung hanno annunciato nel 2021 la ricerca su VTFET (Vertical Transport FET), una nuova struttura di transistor verticale che teoricamente potrebbe offrire un grande salto di densità orientando i transistor verticalmente attraverso il chip.
  • Calcolo Quantistico e Fotonica su Silicio: Pur non facendo parte direttamente delle roadmap CMOS tradizionali, sia il calcolo quantistico che l’integrazione fotonica rappresentano direzioni future che si sovrappongono ai semiconduttori. La R&S sul calcolo quantistico ha visto miliardi di investimenti – aziende come IBM, Google, Intel stanno persino realizzando chip per processori quantistici (anche se con tecnologie molto diverse – ad esempio circuiti superconduttori a temperature criogeniche). Se i computer quantistici scaleranno, potrebbero affiancare i semiconduttori classici per alcuni compiti (crittografia, simulazioni complesse) entro un decennio o due. La fotonica su silicio, invece, si sta già fondendo con i chip tradizionali: integrare interfacce ottiche per collegamenti dati ultra-veloci (ad esempio tra chip server) usando minuscoli laser e guide d’onda sul chip. I giganti tecnologici (ad es. Intel, Cisco) hanno programmi per chip fotonici, e startup stanno lavorando su reti neurali ottiche. Nel 2024, abbiamo visto ulteriori progressi con la seconda generazione di chip transceiver ottici per datacenter, e ricerche sul calcolo fotonico per l’IA.
  • Tecnologie di Memoria Avanzate: L’innovazione non riguarda solo i chip logici. Anche la memoria si sta evolvendo: la flash NAND 3D sta raggiungendo oltre 200 strati (Micron e SK Hynix hanno annunciato chip con più di 230 strati), e forse arriverà a oltre 500 strati entro il 2030, impilando le celle di memoria come grattacieli. Nuove memorie come MRAM, ReRAM e memoria a cambiamento di fase sono in sviluppo per potenzialmente sostituire o integrare DRAM e flash, offrendo non-volatilità con migliori velocità o resistenza. Nel 2023, Intel e Micron hanno presentato progressi in queste memorie di nuova generazione. Lo storage computazionale (dove la memoria può svolgere alcuni compiti di calcolo) è un’altra prospettiva.

Nel complesso, la pipeline di R&S è ricca – dai miglioramenti immediati nella produzione di nuova generazione (2nm, transistor GAA) a nuovi paradigmi rivoluzionari di calcolo. Il settore sta anche ricevendo un sostegno governativo alla R&S senza precedenti: ad esempio, il CHIPS Act degli Stati Uniti destina miliardi per nuovi centri nazionali di ricerca sui semiconduttori, e il Chips Act europeo incrementa allo stesso modo i finanziamenti per la R&S semiconductors.org. Questi sforzi mirano a garantire la leadership nelle tecnologie future. Una tendenza chiara è la collaborazione massiccia tra aziende, governi e mondo accademico nella ricerca pre-competitiva (dato il costo coinvolto).

Nel 2025, la legge di Moore potrebbe rallentare nel senso tradizionale, ma gli innovatori sono fiduciosi che “More Moore” e “More than Moore” (nuove capacità oltre lo scaling) continueranno. Un recente articolo dell’Economist ha osservato che anche se i transistor non continueranno a dimezzarsi di dimensione ogni due anni, il ritmo del progresso potrebbe proseguire grazie ad architetture chiplet, progettazione guidata dall’IA e specializzazione economist.com. In altre parole, la fine della legge di Moore non significherà la fine dei rapidi miglioramenti – semplicemente arriveranno da direzioni diverse. I prossimi anni saranno entusiasmanti mentre assisteremo a se svolte come l’EUV High-NA, l’impilamento 3D dei chip, o forse una nuova tecnologia imprevista, porteranno il settore a nuovi livelli.

Tensioni geopolitiche e implicazioni politiche

I semiconduttori non sono solo business – sono pedine geopolitiche in un gioco di potere globale. Poiché i chip avanzati sono cruciali per la forza economica e la sicurezza nazionale (si pensi alla tecnologia militare, alle infrastrutture critiche, alle comunicazioni sicure), le nazioni si sono mosse sempre più per proteggere e controllare le capacità nei semiconduttori. Nel periodo 2024-2025, queste tensioni sono solo aumentate, rimodellando le politiche e le relazioni internazionali. Ecco le principali linee narrative:

  • “Guerra dei chip” tecnologica USA–Cina: Gli Stati Uniti e la Cina sono impegnati in una feroce competizione sui semiconduttori. Gli USA vedono i progressi della Cina nei chip come una potenziale minaccia alla sicurezza (i chip avanzati possono alimentare l’IA per scopi militari, ecc.) e hanno adottato forti misure per negare alla Cina l’accesso alla tecnologia dei chip più avanzata. Nell’ottobre 2022, gli USA hanno annunciato ampi controlli sulle esportazioni che impediscono alle aziende cinesi di ottenere chip avanzati (oltre determinate soglie di prestazioni) e le apparecchiature per produrli. Nel 2023 e alla fine del 2024, queste restrizioni sono state ulteriormente inasprite – ad esempio, vietando alla Cina anche alcuni chip AI Nvidia meno avanzati ed espandendo la lista delle aziende cinesi (come SMIC, Huawei) soggette a sanzioni deloitte.com. Gli USA hanno anche fatto pressione sugli alleati Paesi Bassi e Giappone per limitare le esportazioni di litografia avanzata e altri strumenti per chip verso la Cina, cosa a cui hanno acconsentito all’inizio del 2023 (così tagliando completamente la Cina fuori dalle macchine EUV, e anche da alcuni strumenti DUV avanzati). L’obiettivo di queste restrizioni è rallentare i progressi della Cina nei semiconduttori di fascia più alta, soprattutto quelli necessari per l’IA militare e il supercalcolo theregister.comm. I funzionari statunitensi hanno dichiarato apertamente di voler mantenere un “piccolo cortile, recinzione alta” – cioè un piccolo insieme delle tecnologie più avanzate, ma con una barriera praticamente inespugnabile attorno ad esse.
  • La risposta della Cina – Autosufficienza e reclutamenti: La Cina non è rimasta a guardare. Ha lanciato un programma “Made in China 2025” da oltre 150 miliardi di dollari per sviluppare la capacità nazionale di semiconduttori e ridurre la dipendenza dalla tecnologia straniera. Le fonderie cinesi come SMIC hanno fatto progressi costanti (seppur modesti) – nonostante le sanzioni, SMIC è riuscita a produrre chip a 7 nm nel 2022-23 (utilizzando in modo creativo la litografia DUV più datata) patentpc.com, come si è visto in uno smartphone Huawei lanciato nel 2023 che, secondo i teardown, montava un SoC cinese a 7 nm. La Cina sta anche sfruttando scappatoie e raddoppiando gli investimenti in R&S sugli strumenti che non può importare (come lo sviluppo di proprie apparecchiature per la litografia, anche se ancora indietro di anni). Un’altra tattica: il reclutamento di talenti. Con le regole statunitensi che vietano agli americani di aiutare le aziende cinesi di chip, la Cina ha reclutato in modo aggressivo ingegneri da Taiwan, Corea e altri paesi, offrendo ricchi incentivi. “La Cina ha reclutato in modo aggressivo talenti espatriati… con stipendi elevati, case gratuite e altro ancora,” ha riportato Reuters deloitte.com. Questa “guerra dei talenti” è un tentativo di importare know-how. Inoltre, la Cina ha imposto proprie restrizioni all’export su alcuni materiali (gallio, germanio) a metà 2023 deloitte.com, segnalando di poter reagire sfruttando la sua posizione dominante in alcune materie prime essenziali per i semiconduttori.
  • CHIPS Acts e politica industriale: Uno sviluppo sorprendente è il numero di governi che hanno adottato politiche per rilocalizzare o delocalizzare la produzione di chip in paesi amici, rompendo con decenni di approccio laissez-faire. Il CHIPS and Science Act (2022) degli Stati Uniti ha stanziato 52,7 miliardi di dollari in finanziamenti diretti per potenziare la produzione nazionale di chip, oltre a crediti d’imposta sugli investimenti del 25% per investimenti in fabbipartisanpolicy.org. Nel 2023-24, il Dipartimento del Commercio degli Stati Uniti ha iniziato ad assegnare questi fondi a progetti – ad esempio, nel 2023 ha annunciato le prime sovvenzioni e garanzie sui prestiti per aziende che costruiscono fab negli Stati Uniti. bipartisanpolicy.org. Gli obiettivi sono aumentare la quota statunitense della produzione globale (attualmente ~12%) e garantire che i chip più avanzati (come quelli per la difesa) possano essere prodotti su suolo americano. Allo stesso modo, l’UE ha lanciato il suo European Chips Act (2023) con l’obiettivo di mobilitare 43 miliardi di euro per raddoppiare la quota di produzione europea al 20% entro il 2030 consilium.europa.eu. Questo prevede sussidi per nuove fab (Intel ha ricevuto un grande sussidio per una fab in Germania, TSMC è corteggiata per una in Germania), sostegno alle startup e finanziamenti per la ricerca. Il Giappone ha stanziato anch’esso miliardi in sussidi – ha attirato TSMC a costruire una fab a Kumamoto (con Sony e Denso come partner) offrendo quasi metà del costo (476 miliardi di yen ≈ 3,2 miliardi di dollari di sussidio) reuters.com. Il Giappone ha anche creato Rapidus, un consorzio con aziende come Sony, Toyota, e sostenuto dal governo, per sviluppare la tecnologia di processo a 2nm a livello nazionale in collaborazione con IBM. La Corea del Sud ha annunciato i propri incentivi per un mega “cluster dei semiconduttori” e per sostenere aziende come Samsung nella costruzione di nuove fab. L’India ha lanciato un programma di incentivi da 10 miliardi di dollari per attrarre produttori di chip ad aprire fab (anche se al 2024 i progressi sono lenti, con qualche interesse per fab analogiche/mature e packaging). Perfino l’Arabia Saudita e gli Emirati Arabi Uniti hanno manifestato interesse a investire pesantemente nei semiconduttori per diversificare le loro economie patentpc.com. Questa ondata globale di politica industriale è senza precedenti per l’industria dei chip, che storicamente aveva pochi sostegni governativi (come il sostegno di lungo periodo di Taiwan a TSMC) ma mai una tale ampia coordinazione. Il rischio è una possibile sovracapacità nel lungo periodo e un’allocazione inefficiente, ma la preoccupazione principale è la sicurezza nazionale e la resilienza della catena di approvvigionamento.
  • Alleanze e “Friendshoring”: Sulla scacchiera geopolitica, si sono formate nuove alleanze incentrate sui chip. Gli Stati Uniti stanno lavorando per creare una sorta di “Alleanza dei Chip” tra nazioni tecnologicamente avanzate e affini – spesso chiamata “Chip 4” (USA, Taiwan, Corea del Sud, Giappone) – per coordinarsi sulla sicurezza della catena di approvvigionamento e tenere le tecnologie critiche lontane dalle mani degli avversari. Anche i Paesi Bassi (sede di ASML) sono un partner chiave. Questi paesi insieme controllano la maggior parte della proprietà intellettuale, degli strumenti e della produzione di chip di fascia alta. Dichiarazioni congiunte nel 2023 e 2024 tra Stati Uniti e Giappone, e Stati Uniti e Paesi Bassi hanno confermato la cooperazione sul controllo dei semiconduttori. Dall’altra parte, la Cina e i paesi nella sua orbita (forse la Russia e altri) potrebbero approfondire i propri legami tecnologici – ad esempio la Cina ha aumentato la collaborazione tecnologica con la Russia e cerca apparecchiature per semiconduttori da qualsiasi paese disposto a venderle. La questione di Taiwan incombe: gli Stati Uniti dichiarano esplicitamente che non possono rimanere dipendenti da Taiwan per i chip indefinitamente (da qui l’incoraggiamento a TSMC a costruire in Arizona). Taiwan, dal canto suo, vuole mantenere il suo “scudo di silicio” – l’idea che la dipendenza mondiale dai suoi chip scoraggi l’aggressione militare. Ma le tensioni sono alte – scenari di guerra simulata e alcune dichiarazioni di funzionari hanno persino ipotizzato idee estreme come distruggere le fabbriche di chip di Taiwan in caso di invasione, per evitare che cadano nelle mani cinesi theregister.com. Questo dimostra come i semiconduttori siano ora intrecciati con la pianificazione della difesa nazionale.
  • Costi più alti e compromessi: Una delle conseguenze della politicizzazione della catena di approvvigionamento sono costi più elevati e inefficienze. Morris Chang ha avvertito che riorganizzare la produzione per motivi politici farà aumentare i prezzi – il modello globale distribuito just-in-time era molto conveniente theregister.com. Ora, duplicare le fabbriche in più paesi, a volte non a pieno regime, o utilizzare località subottimali (dal punto di vista dei costi) significa che i consumatori potrebbero pagare di più per i chip e i prodotti che li utilizzano. TSMC ha già dichiarato che i chip prodotti nel suo nuovo stabilimento in Arizona costeranno sostanzialmente di più rispetto a quelli prodotti a Taiwan (alcune stime parlano di un costo superiore di circa il 50%) reuters.com. Le aziende potrebbero trasferire questi costi ai consumatori. C’è anche la sfida di far crescere talenti e catene di approvvigionamento in nuove regioni (come ha mostrato il ritardo di TSMC in Arizona, vedi sezione Workforce). Tuttavia, i governi sembrano disposti a sostenere questi costi in cambio di benefici in termini di sicurezza.
  • Controlli sulle esportazioni e conformità: Un altro sviluppo è la creazione di intricati regimi di controllo delle esportazioni. Il Bureau of Industry and Security (BIS) del Dipartimento del Commercio degli Stati Uniti ha aggiornato attivamente le regole. Ad esempio, alla fine del 2024, gli Stati Uniti hanno annunciato regole per limitare persino l’accesso ai modelli di IA avanzati ai paesi sanzionati e hanno limitato alcuni chip meno avanzati che potrebbero essere riutilizzati per scopi militari deloitte.com. Il monitoraggio e l’applicazione sono una sfida: esiste un fiorente mercato grigio di rivenditori e intermediari di chip che cercano di far arrivare chip soggetti a restrizioni in Cina o in altre destinazioni vietate. In risposta, gli Stati Uniti stanno aumentando le azioni di enforcement. Nel frattempo, la Cina sta elaborando la propria lista di controllo delle esportazioni (possibilmente per includere più articoli come magneti in terre rare, ecc., oltre ai metalli già soggetti a restrizioni). Questo gioco del gatto e del topo probabilmente continuerà, con le aziende talvolta prese nel mezzo (ad esempio, NVIDIA ha dovuto creare versioni modificate a bassa velocità dei suoi chip IA per poterli vendere legalmente in Cina secondo le regole, cosa che a sua volta gli Stati Uniti hanno osservato con ulteriori restrizioni).
  • Sovranità tecnologica vs. collaborazione: Molti paesi parlano di “sovranità tecnologica” – l’UE usa questo termine per giustificare investimenti che garantiscano di non dipendere completamente dalla tecnologia straniera. D’altra parte, l’innovazione nei semiconduttori prospera grazie alla collaborazione globale (nessun paese può fare tutto a basso costo). Quindi i responsabili politici devono trovare un equilibrio: costruire capacità locali senza isolarsi dalla rete globale di fornitori e clienti. Il CHIPS Act degli Stati Uniti include in realtà disposizioni secondo cui le aziende finanziate non possono costruire nuove capacità avanzate in Cina per 10 anni, cercando di garantire il disaccoppiamento bipartisanpolicy.org. La Cina, a sua volta, promuove “l’autosufficienza” anche se ciò significa reinventare la ruota. Potremmo vedere ecosistemi paralleli se la frattura si allarga – ad esempio, la Cina che sviluppa i propri strumenti EDA, le proprie attrezzature, anche se con una generazione di ritardo. A lungo termine, alcuni temono che questa duplicazione riduca l’efficienza complessiva dell’innovazione (dato che in precedenza un’azienda come TSMC poteva ammortizzare la R&S vendendo a tutti a livello globale; in un mondo diviso, i volumi sono inferiori per mercato).

Nel 2024, le tensioni geopolitiche rimangono ai massimi storici nell’arena dei semiconduttori. Il pioniere del settore Morris Chang sostiene gli sforzi degli Stati Uniti per rallentare la Cina – ha osservato “Gli Stati Uniti hanno avviato la loro politica industriale sui chip per rallentare i progressi della Cina. … Io la sostengo,” pur riconoscendo che l’era del libero scambio nei chip sta finendo. Aziende come ASML hanno espresso preoccupazione che alcune restrizioni sembrino “più motivate da ragioni economiche” che da pura sicurezza reuters.com, come ha sottolineato l’amministratore delegato di ASML auspicando un equilibrio stabile reuters.com. Nel frattempo, paesi come la Corea del Sud a volte si sentono presi nel mezzo – dipendenti dalla Cina come mercato ma alleati degli Stati Uniti. Ad esempio, alla Corea del Sud è stata concessa una certa flessibilità (deroghe) per permettere alle sue aziende Samsung e SK Hynix di continuare a gestire fabbriche in Cina nonostante le regole statunitensi, ma alla fine del 2024 anche la Corea del Sud si è trovata di fronte a una “sorpresa” nel riflettere sulle proprie politiche tecnologiche sotto pressione deloitte.com.

La “guerra dei chip” dei semiconduttori probabilmente continuerà a plasmare la politica globale. Da un lato, sta generando enormi investimenti in tecnologia e capacità (il che può essere positivo per innovazione e occupazione). Dall’altro, rischia di creare un panorama tecnologico più frammentato e volatile, dove shock di approvvigionamento e dispute commerciali diventano più frequenti. Per il grande pubblico, una conseguenza immediata è che garantire una fornitura stabile di chip è diventata una priorità assoluta per i governi – proprio come la sicurezza energetica. Nei prossimi anni, aspettatevi notizie su nuove inaugurazioni di fabbriche negli Stati Uniti o nelle capitali europee, ritorsioni sui divieti di esportazione tra grandi potenze e i semiconduttori come punto chiave nell’agenda dei colloqui diplomatici. La competizione globale per la supremazia nei chip è ormai pienamente in corso, e influenzerà profondamente sia l’evoluzione dell’industria dei semiconduttori sia il più ampio equilibrio del potere economico nel XXI secolo.

Impatto economico dell’industria dei semiconduttori

L’industria dei semiconduttori non si limita a supportare altri settori: è una forza economica enorme di per sé. Nel 2024, il mercato globale dei semiconduttori è cresciuto bruscamente con l’attenuarsi delle carenze dovute alla pandemia e l’aumento della nuova domanda. Le vendite mondiali di chip hanno raggiunto circa 630,5 miliardi di dollari nel 2024 semiconductors.org, segnando un robusto aumento di circa il 18–20% rispetto all’anno precedente, e si prevede che raggiungeranno nuovi record nel 2025 (circa 697 miliardi di dollari) deloitte.com. Se le tendenze attuali continueranno, il settore potrebbe avvicinarsi a 1 trilione di dollari all’anno entro il 2030 deloitte.com. Per dare un’idea, è all’incirca il PIL dei Paesi Bassi o dell’Indonesia generato ogni anno dai chip.

Ma il vero impatto economico dei semiconduttori è molto più ampio delle sole vendite di chip. “Le aziende dell’ecosistema dei semiconduttori producono chip … e li vendono a società che li integrano in sistemi e dispositivi … Il fatturato dei prodotti che contengono chip vale decine di trilioni di dollari,” spiega l’esperto del settore Steve Blank steveblank.com. In effetti, praticamente ogni prodotto elettronico moderno (smartphone, PC, automobili, apparecchiature per telecomunicazioni, macchinari industriali) contiene chip: questi mercati finali valgono complessivamente molti trilioni e guidano la produttività dell’intera economia. Ad esempio, i semiconduttori sono fondamentali per settori chiave come l’automotive (le auto di oggi hanno decine di microcontrollori), l’informatica e i servizi cloud, le telecomunicazioni (reti 5G), l’elettronica di consumo e i settori emergenti come l’intelligenza artificiale e le energie rinnovabili. La disponibilità e il costo dei chip influenzano direttamente la salute e il ritmo dell’innovazione di questi settori.

Alcuni punti concreti sull’impatto economico:

  • Abilitare le rivoluzioni tecnologiche: I semiconduttori sono spesso il collo di bottiglia o il catalizzatore per nuove ondate tecnologiche. L’ascesa degli smartphone e di internet mobile negli anni 2010 è stata resa possibile da chip per telefoni sempre più potenti ed efficienti dal punto di vista energetico. L’attuale boom dell’IA (con modelli simili a ChatGPT e sistemi autonomi) è possibile grazie a GPU all’avanguardia e acceleratori di IA; se i progressi nei chip si fossero fermati, gli algoritmi di IA non potrebbero funzionare su scala pratica. La futura espansione dell’IoT (Internet delle Cose), delle auto elettriche e a guida autonoma, dell’automazione Industry 4.0 e delle comunicazioni 6G presuppone tutti continui progressi nei chip. In termini economici, i chip hanno un enorme effetto moltiplicatore: un’innovazione nei semiconduttori può dare vita a intere nuove industrie. Riconoscendo questo, i governi definiscono i semiconduttori un’industria “strategica”; ad esempio, la Casa Bianca ha dichiarato che i semiconduttori sono “critici per la crescita economica e la sicurezza nazionale degli Stati Uniti”, sottolineando il motivo per cui il CHIPS Act è stato giustificato bipartisanpolicy.org.
  • Creazione di posti di lavoro e occupazione altamente qualificata: Il settore dei semiconduttori sostiene un gran numero di posti di lavoro in tutto il mondo, molti dei quali sono posizioni qualificate e ben retribuite (ingegneri, tecnici, ricercatori). Nei poli di progettazione di chip come la Silicon Valley (USA) o Hsinchu (Taiwan), le aziende di semiconduttori sono tra i principali datori di lavoro. Una singola nuova fabbrica può creare migliaia di posti di lavoro diretti e decine di migliaia di posti di lavoro indiretti (costruzione, fornitori, servizi). Ad esempio, le fabbriche pianificate da Intel in Ohio e quelle di TSMC in Arizona dovrebbero ciascuna creare circa 3.000 posti di lavoro diretti, più molti altri nell’economia più ampia. Inoltre, questi sono esattamente il tipo di lavori di manifattura avanzata che molti paesi sviluppati desiderano avere a livello nazionale per ragioni economiche e di sicurezza. Tuttavia, come discuteremo nella prossima sezione, trovare talenti qualificati per questi lavori è una sfida crescente, che ha a sua volta implicazioni economiche (la carenza di manodopera può rallentare l’espansione e far salire i salari).
  • Commercio globale e catene di approvvigionamento: I semiconduttori sono tra i prodotti più scambiati a livello globale. Il commercio globale annuale di semiconduttori e attrezzature correlate ammonta a centinaia di miliardi. Ad esempio, i chip sono costantemente tra le principali esportazioni di paesi come Taiwan, Corea del Sud, Malesia e, sempre più, Cina (che esporta molti chip di fascia bassa pur importando quelli di fascia alta). In effetti, dal 2020, le importazioni di chip della Cina (circa 350 miliardi di dollari nel 2022) hanno superato le sue importazioni di petrolio, evidenziando i chip come una merce di importazione cruciale per il paese patentpc.com. Questa dinamica influisce anche sui saldi commerciali e sulle negoziazioni. Le economie fortemente orientate all’export come Corea del Sud e Taiwan dipendono dalle esportazioni di chip per la crescita – a Taiwan, TSMC da sola è un importante contributore al PIL e all’avanzo commerciale. Nel frattempo, i paesi che dipendono dall’importazione di chip (come molti in Europa o l’India) vedono il miglioramento della propria posizione commerciale come una delle ragioni per sviluppare una produzione nazionale.
  • Sicurezza economica: La carenza di chip del 2021-2022 è stata un campanello d’allarme: la mancanza di componenti semiconduttori da 1 dollaro è bastata a fermare la produzione di auto da 40.000 dollari, contribuendo all’inflazione e a una minore crescita del PIL in alcune regioni. Studi hanno stimato che la carenza di chip ha ridotto di diversi punti percentuali la produzione automobilistica globale e rallentato la disponibilità di elettronica di consumo, con un probabile effetto leggermente negativo sul PIL nel 2021. I governi ora considerano la fornitura garantita di chip come parte della sicurezza economica. Un rapporto PwC del 2023 ha persino avvertito che una grave interruzione della fornitura di chip causata dai cambiamenti climatici potrebbe mettere a rischio un terzo della produzione prevista di 1 trilione di dollari entro un decennio se il settore non si adatta pwc.com – il che danneggerebbe significativamente l’economia globale. Per questo, i pianificatori economici stanno integrando i semiconduttori nelle valutazioni dei rischi solitamente riservate alle materie prime essenziali.
  • Mercato azionario e crescita aziendale: Le aziende di semiconduttori sono diventate alcune delle aziende più preziose al mondo. Alla fine del 2024, la capitalizzazione di mercato combinata delle prime 10 aziende di chip era di circa 6,5 trilioni di dollari, in aumento del 93% rispetto all’anno precedente deloitte.com, grazie alle valutazioni in forte crescita legate all’IA. Colossi come TSMC, NVIDIA, Samsung, Intel e ASML hanno ciascuno capitalizzazioni di mercato di centinaia di miliardi. Le performance di queste aziende influenzano fortemente gli indici azionari e i flussi di investimento. Infatti, il Philadelphia Semiconductor Index (SOX) è spesso visto come un barometro della salute del settore tecnologico. La ricchezza creata dall’ascesa di queste aziende è enorme, e a loro volta reinvestono somme record in R&S e spese in conto capitale (TSMC ha speso circa 36 miliardi di dollari in capex nel 2022 reuters.com, una cifra paragonabile al costo di costruzione di diverse portaerei). Questo crea un circolo virtuoso di innovazione e attività economica, finché la domanda tiene il passo.
  • Impatto sui consumatori e prezzi: I chip rappresentano una parte importante del costo di molti prodotti. Man mano che i chip diventano più potenti (secondo la legge di Moore), spesso il costo per funzione diminuisce, consentendo elettronica più economica o più funzionalità allo stesso prezzo – un vantaggio per i consumatori e la produttività. Tuttavia, la recente crisi di approvvigionamento e i costi aggiuntivi delle catene di fornitura “sicure” (ad esempio, la duplicazione delle fab in regioni a costi più elevati) possono esercitare pressioni inflazionistiche. Abbiamo visto, ad esempio, i prezzi delle auto aumentare significativamente nel 2021-2022 anche perché le case automobilistiche non riuscivano a procurarsi abbastanza microcontrollori, portando a scorte ridotte. Un rapporto di Goldman Sachs del 2021 ha rilevato che i chip entrano in una vasta gamma di beni di consumo, quindi una carenza prolungata di chip può influenzare l’inflazione di una frazione di punto percentuale. Al contrario, quando la fornitura di chip si normalizza, può avere un effetto deflazionistico sui prezzi dell’elettronica. Nel lungo periodo, il progresso continuo nei semiconduttori è una forza deflazionistica (l’elettronica cala di prezzo o diventa molto più capace allo stesso prezzo ogni anno).
  • Sussidi governativi e ROI: Con decine di miliardi di fondi pubblici ora destinati alle iniziative sui chip, contribuenti ed economisti osservano i ritorni. I sostenitori sostengono che questi sussidi ripagheranno tramite la creazione di posti di lavoro ad alto valore e la salvaguardia di industrie essenziali. C’è anche l’effetto moltiplicatore – ad esempio, costruire una fabbrica comporta molto lavoro edile e poi posti di lavoro altamente qualificati, e si stima che ogni posto in fabbrica sostenga circa 4–5 altri posti nell’economia (manutenzione, servizi, ecc.). Tuttavia, i critici mettono in guardia contro il rischio di sovrapproduzione o l’inefficienza del governo nel scegliere i vincitori. I finanziamenti del CHIPS Act, ad esempio, sono vincolati (condivisione dei profitti in caso di profitti eccessivi, requisiti di assistenza all’infanzia per i lavoratori delle fabbriche, ecc.) per cercare di garantire benefici diffusi. Il successo o il fallimento di queste politiche avrà effetti a catena sull’economia: se avranno successo, regioni come il Midwest americano o la Sassonia in Germania potrebbero diventare nuove Silicon Valley, rafforzando le economie locali. In caso contrario, c’è il rischio di costosi “elefanti bianchi”.

In sintesi, i semiconduttori hanno un enorme impatto economico sia diretto che indiretto. Stimolano la crescita di industrie complementari e sono al centro dei guadagni di produttività (computer più veloci = più simulazioni scientifiche, IA migliore = più automazione). La natura ciclica del settore (cicli di boom e crisi dovuti alle fluttuazioni della domanda) può anche influenzare i cicli economici più ampi. Ad esempio, una flessione nel ciclo dei chip (come nel 2019 o 2023 per i chip di memoria) può danneggiare le esportazioni e il PIL delle economie a forte vocazione manifatturiera, mentre una ripresa (come l’attuale boom dell’IA) può potenziarle notevolmente.

Guardando al 2025, le prospettive sono ottimistiche: il rapporto di settore di Deloitte ha rilevato che il 2024 è stato molto robusto con una crescita di circa il 19%, e il 2025 potrebbe vedere un ulteriore ~11% di crescita, mettendo il settore sulla strada per raggiungere quell’ambizione da mille miliardi di dollari deloitte.com. La crescita è alimentata dalla domanda di tecnologie emergenti (IA, 5G, veicoli elettrici) che compensa eventuali rallentamenti negli smartphone o nei PC. La sfida sarà gestire i costi della localizzazione e i vincoli geopolitici senza soffocare l’innovazione e la scala che hanno reso i semiconduttori una storia di successo economico.

Preoccupazioni ambientali e di sostenibilità

Per quanto la tecnologia dei semiconduttori sia straordinaria, la sua produzione comporta notevoli costi ambientali. Il settore si sta confrontando sempre più con le sue sfide di sostenibilità – tra cui l’enorme consumo di acqua ed energia, le emissioni di gas serra e i rifiuti chimici. Paradossalmente, sebbene i chip abilitino tecnologie più verdi (come elettronica efficiente e soluzioni per l’energia pulita), la loro produzione può essere ad alta intensità di risorse e inquinante se non gestita con attenzione. Ecco le principali preoccupazioni ambientali:

  • Uso dell’acqua: “I semiconduttori non possono esistere senza acqua – e ne serve molta,” osserva Kirsten James di Ceres weforum.org. Le fabbriche richiedono enormi quantità di acqua ultrapura (UPW) per risciacquare le wafer dopo ogni processo chimico. Quest’acqua deve essere estremamente pura (migliaia di volte più pura dell’acqua potabile) per evitare qualsiasi contaminazione da minerali o particelle weforum.org. Per produrre 1.000 galloni di UPW, sono necessari circa 1.400–1.600 galloni di acqua municipale (il resto diventa acque reflue) weforum.org. Una singola grande fabbrica di chip può utilizzare 10 milioni di galloni d’acqua al giorno, equivalente al consumo idrico di circa 30.000–40.000 famiglie weforum.org. A livello globale, si stima che tutte le fabbriche di semiconduttori insieme consumino acqua quanto una città di milioni di abitanti; un rapporto ha rilevato che le fabbriche di chip in tutto il mondo usano ogni anno tanta acqua quanto la città di Hong Kong (7,5 milioni di persone) weforum.org. Questa forte domanda mette sotto pressione le risorse idriche locali, soprattutto nelle regioni già colpite da siccità o stress idrico (ad esempio, le fabbriche TSMC a Taiwan sono state minacciate da una grave siccità nel 2021, richiedendo il razionamento dell’acqua da parte del governo e persino il trasporto di acqua alle fabbriche). La scarsità d’acqua sta diventando una vulnerabilità per il settore weforum.org. Inoltre, le acque reflue scaricate dalle fabbriche possono contenere sostanze chimiche pericolose (come acidi, metalli). Senza un trattamento adeguato, queste acque reflue possono inquinare fiumi e falde acquifere, danneggiando gli ecosistemi weforum.org. In effetti, in alcuni poli dei chip in Cina e Corea del Sud, le autorità hanno segnalato fabbriche per violazioni ambientali dovute all’inquinamento idrico weforum.org. Il settore sta rispondendo investendo nel riciclo dell’acqua: molte fabbriche ora riciclano una parte della loro acqua. Ad esempio, la nuova fabbrica TSMC in Arizona afferma che recupererà circa il 65% del proprio consumo idrico in loco weforum.org, e Intel ha collaborato con le autorità locali in Oregon e Arizona per costruire impianti di trattamento delle acque per reintegrare le falde acquifere weforum.org. Alcuni stabilimenti a Singapore e in Israele riciclano percentuali ancora più elevate. Tuttavia, con l’aumento della domanda di chip, il consumo complessivo di acqua è comunque destinato a crescere, rendendo questa una questione critica di sostenibilità.
  • Consumo energetico ed emissioni: La produzione di chip è energivora. Il funzionamento continuo 24/7 delle cleanroom, delle pompe e dei processi termici di una fabbrica richiede enormi quantità di energia. Una singola fab avanzata può consumare circa 100 megawatt di elettricità in modo continuativo – equivalente al consumo energetico di una piccola città (decine di migliaia di abitazioni). In effetti, “una tipica grande fabbrica di chip consuma oltre 100.000 megawatt di energia … ogni singolo giorno,” e il settore nel suo complesso ha utilizzato circa 190 milioni di tonnellate di CO₂-equivalente nel 2024 blog.veolianorthamerica.com. (Questa cifra – 190 milioni di tonnellate – corrisponde approssimativamente alle emissioni annuali di paesi come il Vietnam o l’Australia.) Parte di questa impronta di carbonio deriva dall’uso indiretto di energia (se la rete locale è alimentata da fonti fossili), e parte dalle emissioni dirette dei processi. Le fabbriche utilizzano composti perfluorurati (PFC) per incisione e pulizia; questi gas, come CF₄ o C₂F₆, hanno potenziali di riscaldamento globale migliaia di volte superiori alla CO₂ e possono persistere nell’atmosfera per millenni. Sebbene l’industria abbia lavorato per ridurre le perdite di PFC (nell’ambito di accordi volontari previsti dal Protocollo di Kyoto), essi contribuiscono ancora in modo significativo alle emissioni. Secondo uno studio di TechInsights, se la produzione di chip dovesse raddoppiare entro il 2030 (per raggiungere un mercato da 1 trilione di dollari), senza misure di mitigazione le emissioni del settore potrebbero aumentare significativamente pwc.com. Per affrontare il consumo energetico, i produttori di chip stanno investendo sempre più in energie rinnovabili per alimentare le fabbriche. TSMC, ad esempio, è diventata uno dei maggiori acquirenti aziendali di energia rinnovabile al mondo, puntando al 40% di rinnovabili entro il 2030 e al 100% entro il 2050. Anche Intel ha fabbriche alimentate al 100% da elettricità rinnovabile in alcune sedi. Migliorare l’efficienza energetica all’interno delle fabbriche (ad esempio utilizzando il recupero di calore, refrigeratori più efficienti) è un altro obiettivo. Ma è importante notare che i chip più avanzati spesso richiedono più energia per wafer prodotto (ad esempio, la litografia EUV è meno efficiente dal punto di vista energetico rispetto alle litografie più vecchie), quindi esiste una tensione tra il progresso tecnologico e l’energia per chip. Alcuni analisti temono che se la Legge di Moore rallenta, l’energia per transistor possa addirittura aumentare.
  • Rifiuti chimici e pericolosi: Il processo dei semiconduttori impiega sostanze tossiche e pericolose – gas come silano o arsina, liquidi corrosivi (acidi, solventi) e metalli pesanti. Gestire i flussi di rifiuti in modo sicuro è fondamentale. Le fabbriche generano rifiuti chimici che devono essere trattati o smaltiti con attenzione. Ad esempio, i solventi e gli agenti di incisione usati possono essere distillati e riciclati, gli acidi neutralizzati e le sospensioni filtrate per il riutilizzo. Aziende come Veolia offrono servizi specifici per aiutare le fabbriche con il riciclo dei rifiuti – convertendo i prodotti chimici esausti in prodotti utili o incenerendo in sicurezza i rifiuti e recuperando energia blog.veolianorthamerica.com. Nonostante le migliori pratiche, possono verificarsi (e si sono verificati) incidenti (perdite chimiche, smaltimento improprio), che potrebbero causare danni ambientali locali. Un altro aspetto è il rifiuto da imballaggio – la produzione comporta l’uso di molti contenitori di plastica monouso, guanti, camici, ecc., nelle camere bianche. Molte aziende ora cercano di ridurre e riciclare anche questi rifiuti solidi blog.veolianorthamerica.com. C’è anche il rifiuto elettronico a valle, ma questo riguarda più lo smaltimento dei prodotti elettronici finiti che la fabbricazione dei chip stessa.
  • Resilienza ai cambiamenti climatici: Ironia della sorte, il cambiamento climatico rappresenta una minaccia diretta alla produzione di chip, anche se i chip saranno necessari per combattere il cambiamento climatico. Le fabbriche si trovano in luoghi che sperimentano sempre più spesso eventi meteorologici estremi: tifoni in Asia orientale, ondate di calore e siccità (ad esempio, nell’ovest degli Stati Uniti, a Taiwan), ecc. Un rapporto CNBC del 2024 ha evidenziato come una singola tempesta o inondazione che colpisce una “città dei chip” chiave potrebbe sconvolgere la catena di approvvigionamento – ad esempio, un ipotetico tifone Helene che colpisse la città taiwanese di Hsinchu (dove si trova la sede di TSMC) potrebbe essere catastrofico deloitte.com. Le aziende ora stanno valutando i rischi climatici per i loro impianti. Lo stress idrico è una delle principali preoccupazioni – un sondaggio del 2023 tra dirigenti del settore dei chip ha rilevato che il 73% era preoccupato per i rischi legati alle risorse naturali (acqua) per le proprie operazioni weforum.org. Molte stanno integrando la resilienza climatica, come la costruzione di riserve idriche in loco, alimentazione di emergenza e la diversificazione delle sedi geografiche. PricewaterhouseCoopers ha avvertito che senza adattamento, fino al 32% della fornitura globale di semiconduttori è a rischio entro il 2030 a causa dello stress idrico legato al clima e di altri impatti climatici pwc.com.
  • Iniziative positive: Dal lato positivo, l’industria ha rafforzato gli impegni per la sostenibilità. Entro il 2025, quasi tutte le principali aziende di semiconduttori avranno qualche forma di obiettivo di riduzione o neutralità del carbonio. TSMC punta a ridurre le emissioni del 20% entro il 2030 (rispetto al 2020) e a raggiungere la neutralità entro il 2050. Intel ha come obiettivo la neutralità delle emissioni operative entro il 2040 e sta investendo in fabbriche verdi (ha già raggiunto l’82% di riutilizzo dell’acqua e il 100% di energia verde nei siti USA nel 2022). Samsung ha annunciato obiettivi ambientali simili – ad esempio, approvvigionamento di energia rinnovabile per le operazioni all’estero e miglioramento dell’efficienza energetica dei suoi processi. Un altro aspetto positivo è che i prodotti dell’industria aiutano a ridurre le emissioni altrove – ad esempio, i chip a basso consumo riducono l’uso di energia nei data center e nei dispositivi elettronici; i chip nei sistemi di energia rinnovabile migliorano l’efficienza della rete. Uno studio della SIA (Semiconductor Industry Association) suggerisce che per ogni tonnellata di CO₂ emessa dal settore dei chip, le tecnologie rese possibili dai chip aiutano a ridurne diverse tonnellate in altri settori (attraverso il risparmio energetico). Se questo compensi o meno l’impronta è oggetto di dibattito, ma è chiaro che i semiconduttori sono fondamentali per le soluzioni climatiche (smart grid, veicoli elettrici, ecc.).

Per illustrare i progressi compiuti: la divisione semiconduttori di Sony in Giappone ha dichiarato che uno dei suoi stabilimenti riutilizza circa l’80% delle sue acque reflue e sta costruendo nuovi impianti di riciclo per migliorare questo aspetto weforum.org. Molte aziende hanno aderito alle iniziative della Responsible Business Alliance per catene di approvvigionamento sostenibili, garantendo che i minerali utilizzati (ad es. cobalto, tantalio) siano privi di conflitti e estratti in modo responsabile. E si stanno formando consorzi per affrontare questioni pervasive collettivamente – ad esempio, IMEC in Belgio gestisce programmi sulla produzione sostenibile di semiconduttori, esplorando alternative ai gas PFC e modi per ridurre l’energia per wafer.

In conclusione, l’impatto ambientale della produzione di semiconduttori non è trascurabile e deve essere gestito. La buona notizia è che i leader del settore ne sono consapevoli. Come afferma un rapporto Deloitte, produrre chip per un valore di mille miliardi di dollari nel 2030 avrà un impatto ambientale – la questione è come mitigarne gli effettiwww2.deloitte.com. La strada da seguire include maggiore trasparenza (le aziende che rendono pubblici i dati su acqua e carbonio), fissare obiettivi basati sulla scienza per le emissioni, investire in pratiche di economia circolare (come il riutilizzo dei prodotti chimici, obiettivi di zero rifiuti in discarica blog.veolianorthamerica.com), e collaborare con i governi (per infrastrutture come energia rinnovabile e trattamento delle acque). Anche consumatori e investitori spingono per pratiche più ecologiche – grandi acquirenti di chip come Apple, ad esempio, vogliono che la loro catena di fornitura (inclusi fornitori di chip come TSMC) utilizzi il 100% di energia rinnovabile. Questa pressione esterna aiuta a promuovere il cambiamento.

Quindi, mentre l’industria dei chip ha ancora del lavoro da fare per ridurre la propria impronta ambientale, sta compiendo passi significativi. Dopotutto, risparmiare acqua ed energia spesso coincide con il risparmio sui costi a lungo termine. E in un mondo in cui la sostenibilità è sempre più fondamentale, eccellere nella “produzione di chip green” potrebbe diventare un ulteriore vantaggio competitivo. Potremmo persino vedere tecnologie come nuovi metodi di incisione a secco (che utilizzano meno sostanze chimiche) o sostituti dei gas PFC diventare pratica standard, spinte dalla ricerca e sviluppo eco-consapevole. L’auspicio è che la prossima fase di crescita dei semiconduttori possa essere raggiunta in modo che funzioni con l’ambiente, non contro di esso blog.veolianorthamerica.com – garantendo che la rivoluzione digitale alimentata dai chip sia sostenibile per il pianeta.

Sfide per la forza lavoro e i talenti

La produzione di semiconduttori non riguarda solo camere bianche e macchinari – si basa fondamentalmente su persone con competenze altamente specializzate. E qui, il settore si trova di fronte a una sfida critica: una crescente carenza di talenti e un divario di competenze. Mentre le nazioni investono in nuovi impianti e nella R&S, sorge la domanda: chi lavorerà in queste strutture e guiderà l’innovazione, soprattutto in un’epoca in cui la forza lavoro attuale sta invecchiando e i giovani talenti si orientano verso il software o altri settori?

Questioni chiave e sviluppi riguardanti la forza lavoro nei semiconduttori:

  • Forza lavoro che invecchia & ondata di pensionamenti: In molte regioni, la forza lavoro attuale nell’ingegneria dei semiconduttori è composta prevalentemente da professionisti più anziani ed esperti – e una larga fascia si avvicina alla pensione. Ad esempio, negli Stati Uniti “il 55% della forza lavoro nei semiconduttori ha più di 45 anni, mentre meno del 25% ha meno di 35 anni,” a metà 2024 deloitte.com. In Europa la situazione è simile: “il 20% dei lavoratori dei semiconduttori in Europa ha più di 55 anni, e circa il 30% della forza lavoro tedesca nei semiconduttori dovrebbe andare in pensione nel prossimo decennio,” secondo un’analisi di EE Times deloitte.com. Si tratta di un imminente “fuga di cervelli” con l’uscita degli esperti veterani. Il settore rischia di perdere decenni di conoscenze istituzionali più velocemente di quanto riesca a sostituirle – un fatto evidenziato nello studio di Deloitte sui talenti, che ha avvertito del rischio di “trasferimento di conoscenze incoerente e troppo pochi nuovi ingressi per assorbire l’esperienza” deloitte.com.
  • Pipeline insufficiente di nuovi talenti: Storicamente, le carriere nell’ingegneria dei chip (che si tratti di ingegneria elettronica, scienza dei materiali o manutenzione delle apparecchiature) non hanno attratto un bacino di giovani talenti ampio quanto, ad esempio, lo sviluppo software o la data science. Il lavoro è spesso considerato più specializzato, richiede titoli di studio avanzati e il profilo dell’industria tra i laureati si è affievolito dai tempi d’oro del boom dei PC. Uno studio congiunto SEMI-Deloitte già nel 2017 aveva evidenziato un “imminente divario di talenti” e sottolineato che l’industria dei semiconduttori fatica con il branding e la proposta di valore per i neolaureati deloitte.com. Nel 2023-2024, nonostante la natura high-tech del settore, sempre meno studenti scelgono percorsi legati ai semiconduttori e le aziende segnalano difficoltà a coprire le posizioni, dai livelli entry-level fino ai ricercatori con dottorato. Il risultato: molte offerte di lavoro, pochi candidati qualificati. Questo è particolarmente acuto nelle regioni che cercano di espandere la produzione di chip partendo da una base bassa (ad esempio, gli Stati Uniti, che devono formare molti più tecnici per le nuove fab, o i primi sforzi dell’India).
  • Disallineamenti regionali e la lezione di TSMC in Arizona: Un esempio emblematico delle problematiche legate ai talenti è stato il ritardo di TSMC in Arizona. TSMC sta costruendo una fabbrica da 40 miliardi di dollari in Arizona – uno dei pilastri della strategia statunitense per riportare la produzione avanzata di chip sul territorio nazionale. Tuttavia, a metà 2023 TSMC ha annunciato che l’apertura dell’impianto sarebbe stata posticipata dal 2024 al 2025, citando “una quantità insufficiente di lavoratori qualificati” nella forza lavoro locale manufacturingdive.com. L’azienda ha faticato a trovare abbastanza lavoratori statunitensi con le competenze specialistiche necessarie per la costruzione e l’installazione di apparecchiature avanzate per le fab, e si è scontrata con “resistenze da parte dei sindacati agli sforzi di portare lavoratori da Taiwan” per aiutarereuters.com. TSMC ha dovuto inviare centinaia di tecnici esperti da Taiwan in Arizona per formare i lavoratori locali e completare l’installazione delle cleanroom. Il presidente dell’azienda, Mark Liu, ha osservato che ogni nuovo progetto ha una curva di apprendimento, ma ha lasciato intendere che la carenza di manodopera negli Stati Uniti rappresentava un ostacolo serio reuters.com. Questo scenario sottolinea che l’expertise è concentrata nei poli esistenti (come Taiwan per la produzione all’avanguardia) e non si trasferisce facilmente. Ora i progetti di fab statunitensi (le nuove fab di Intel, l’espansione della fab di Samsung in Texas, ecc.) stanno tutti intensificando il reclutamento e la formazione, collaborando con community college e scuole di ingegneria per sviluppare talenti. Ma formare un neolaureato affinché diventi un ingegnere di processo dei semiconduttori esperto può richiedere anni di esperienza sul campo. Quindi, la crescita dei talenti nazionali potrebbe non tenere il passo con la costruzione delle fabbriche.
  • La spinta della Cina sui talenti: Nel frattempo, la Cina sta reclutando aggressivamente talenti nel settore dei chip a livello globale per superare i suoi vincoli tecnologici. Come già detto, con i Paesi occidentali che limitano il trasferimento tecnologico, la Cina si è rivolta al reclutamento di singoli individui. Un’indagine di Reuters del 2023 ha scoperto che la Cina ha assunto in modo discreto centinaia di ingegneri dalla TSMC di Taiwan e da altre aziende, offrendo pacchetti retributivi a volte doppi rispetto al loro stipendio, oltre a benefit come l’alloggio deloitte.com. L’idea è importare competenze nelle fabbriche e nei centri di progettazione cinesi (in un certo senso rispecchiando il modo in cui Taiwan ha avviato la propria industria riportando in patria ingegneri formati negli Stati Uniti negli anni ’80). Tuttavia, ciò ha causato tensioni: Taiwan ha persino avviato indagini e inasprito le leggi per prevenire la fuga di proprietà intellettuale tramite il reclutamento di talenti. Anche gli Stati Uniti ora vietano ai propri cittadini (e ai possessori di green card) di lavorare per alcune aziende cinesi di chip senza una licenza deloitte.com, dopo aver notato che molti ex dipendenti di aziende americane accettavano incarichi ben retribuiti in Cina. Tuttavia, la “guerra dei talenti” fa sì che ingegneri esperti siano molto richiesti a livello globale e che gli stipendi vengano rilanciati al rialzo. Questo è ottimo per gli ingegneri, ma può essere problematico per aziende e regioni che non possono competere con le offerte di datori di lavoro più ricchi (che si tratti di una startup cinese sovvenzionata dallo Stato o di una fabbrica finanziata dal CHIPS Act statunitense).
  • Iniziative di formazione e istruzione: Riconoscendo il collo di bottiglia dei talenti, sono nate numerose iniziative. Nell’ambito del CHIPS Act, gli Stati Uniti hanno stanziato fondi non solo per le fabbriche, ma anche per lo sviluppo della forza lavoro – collaborando con università e community college per creare nuovi programmi di formazione sui semiconduttori bipartisanpolicy.org. Ad esempio, la Purdue University ha lanciato un programma di laurea in semiconduttori con l’obiettivo di diplomare ogni anno centinaia di ingegneri specializzati, e l’Arizona State University sta ampliando i programmi per supportare la presenza di TSMC. Allo stesso modo, il Chips Act europeo prevede borse di studio e reti di formazione transnazionali per favorire la crescita di esperti in microelettronica. Anche le aziende stanno potenziando la formazione interna; Intel, ad esempio, gestisce da tempo una “college for fabs” interna e sta ampliando stage e programmi di apprendistato. Una delle sfide, però, è che molte conoscenze implicite nella produzione di chip non si imparano sui libri di testo, ma si acquisiscono lavorando direttamente nelle fabbriche. Quindi, per aumentare i talenti servirà una combinazione di formazione formale e apprendistato pratico presso le strutture esistenti. I governi potrebbero persino allentare le regole sull’immigrazione per attrarre talenti stranieri (gli Stati Uniti stanno valutando una categoria di visto speciale per esperti di chip, e il Giappone sta corteggiando ingegneri taiwanesi e coreani per lavorare in Rapidus).
  • Cultura lavorativa e attrattività: Un altro problema è rendere la carriera nei semiconduttori attraente. L’industria può essere impegnativa: le fabbriche funzionano 24/7, gli ingegneri spesso fanno turni e la precisione richiesta comporta un ambiente ad alta pressione. Come ha osservato Reuters, TSMC ha scoperto che i lavoratori americani erano meno inclini a sopportare il “massacrante” orario continuato delle fabbriche di chip rispetto ai lavoratori di Taiwan o del Giappone reuters.com. In Giappone, c’è una norma culturale di lavorare molte ore che si adatta alle esigenze delle fabbriche di chip, mentre negli Stati Uniti le aspettative di equilibrio tra lavoro e vita privata potrebbero scontrarsi con le necessità dei turni notturni. Le aziende potrebbero dover adattarsi (ad esempio, più automazione per ridurre i turni notturni, o incentivi per lavorare in orari poco popolari). Inoltre, il settore potrebbe migliorare la propria immagine mettendo in risalto la natura interessante e d’impatto del lavoro – si contribuisce al futuro della tecnologia – e promuovendo diversità e inclusione (tradizionalmente è stato un settore dominato dagli uomini e potrebbe coinvolgere di più i gruppi sottorappresentati). La mancanza storica di fascino rispetto al software sta in parte svanendo, poiché i semiconduttori sono ora spesso sulle notizie, ma un continuo lavoro di sensibilizzazione è fondamentale.
  • Carenza di talenti in cifre: Per quantificare, SEMI (l’associazione di settore) ha stimato alla fine del 2022 che entro il 2030 il settore potrebbe affrontare una carenza di circa 300.000 lavoratori qualificati a livello globale se le tendenze attuali continueranno. Questo include tutto, dai ricercatori con dottorato ai tecnici per la manutenzione delle apparecchiature. I divari più acuti sono negli ingegneri delle apparecchiature, negli ingegneri di processo di fabbricazione e negli specialisti di software EDA. Anche aziende EDA come Synopsys segnalano la necessità di più esperti di algoritmi e IA per sviluppare la prossima generazione di strumenti di progettazione (che ora coinvolgono l’IA – chip che progettano chip!). Un altro segmento riguarda i lavori a livello tecnico – coloro che hanno diplomi tecnici di 2 anni e che operano e mantengono gli strumenti delle fabbriche. Paesi come gli Stati Uniti hanno investito poco nella formazione professionale per questi ruoli negli ultimi decenni, quindi ricostruire quella filiera è cruciale.
  • Collaborazione internazionale vs. restrizioni: È interessante notare che, mentre il fabbisogno di talenti è globale, alcune politiche complicano la mobilità dei talenti. Le regole di esportazione statunitensi non limitano solo l’hardware ma anche il know-how umano (le persone statunitensi hanno bisogno di licenze per lavorare con alcune fabbriche cinesi). Questo può limitare il bacino di esperti disposti o in grado di lavorare in certi luoghi, segmentando di fatto il mercato del lavoro. D’altra parte, i paesi alleati stanno valutando modi per condividere i talenti – ad esempio, forse un programma di “scambio di talenti” tra, ad esempio, fabbriche americane e taiwanesi per formare ingegneri in modo incrociato, o il reciproco riconoscimento delle qualifiche tra UE e USA per consentire agli ingegneri di spostarsi più facilmente per i progetti.
  • Compensazione e Concorrenza: La carenza di talenti ha portato a un aumento degli stipendi nel settore, il che è positivo per attrarre persone ma aumenta anche i costi per le aziende. Nel 2021-2022, alcune aziende di semiconduttori hanno concesso notevoli aumenti salariali o bonus per trattenere i dipendenti. Si dice che TSMC abbia offerto aumenti salariali superiori al 20% nel 2022 in seguito a tentativi di “poaching”. In regioni come l’India, dove storicamente la retribuzione per i progettisti di chip era più bassa, le multinazionali ora offrono pacchetti molto più alti per evitare che i talenti passino alla concorrenza o all’estero. Tutto ciò è ottimo per i professionisti, ma potrebbe ridurre i margini di profitto o influenzare le aree in cui le aziende decidono di espandersi (potrebbero cercare regioni con buoni sistemi educativi ma costi del lavoro ancora ragionevoli – uno dei motivi per cui Intel e altri stanno valutando luoghi come l’Ohio o lo stato di New York invece dei mercati del lavoro più “caldi”).

Per riassumere, la questione dei talenti nei semiconduttori è un vincolo critico per i piani di espansione ambiziosi del settore. C’è una certa ironia: possiamo spendere miliardi in nuovi impianti scintillanti, ma senza persone qualificate per gestirli, restano solo gusci vuoti. Come ha detto il presidente della SIA nel 2022, “Non puoi avere una rinascita della manifattura senza una rinascita della forza lavoro”. I prossimi anni vedranno uno sforzo concertato per ispirare e formare la prossima generazione di esperti di chip. Questo potrebbe significare aggiornare i programmi di ingegneria per includere più contenuti sulla fabbricazione di semiconduttori, offrire borse di studio interessanti e persino avviare attività di sensibilizzazione STEM già a livello di scuola superiore per entusiasmare gli studenti all’idea di “costruire il prossimo chip da un miliardo di transistor” invece di scrivere solo la prossima app.

Nel frattempo, le aziende adotteranno soluzioni temporanee: formazione incrociata di ingegneri provenienti da settori affini, riassunzione di pensionati come consulenti e maggiore utilizzo di automazione e IA per ridurre il fabbisogno di manodopera negli impianti. Anche i governi potrebbero modificare le politiche migratorie – ad esempio, gli Stati Uniti potrebbero allegare una green card ai laureati con dottorato pertinente nelle università americane per trattenerli nel Paese.

La posta in gioco è alta: se la carenza di talenti non verrà affrontata, potrebbe diventare un collo di bottiglia che rallenta il ritmo dell’innovazione e l’aumento della capacità produttiva, minando gli obiettivi delle iniziative sui chip da miliardi di dollari. Al contrario, se riusciremo a ispirare una nuova ondata di talenti nel campo della microelettronica, quel capitale umano potrebbe sostenere una nuova età dell’oro per i semiconduttori. Come ha scherzato un esperto, “La risorsa più importante dell’industria dei chip non è il silicio, sono i cervelli.” E garantire che ci siano abbastanza di questi cervelli che lavorano sui semiconduttori è vitale quanto qualsiasi altro fattore discusso in questo rapporto.


I semiconduttori sono spesso definiti “il DNA della tecnologia”, e questa analisi approfondita chiarisce il perché. Dalla fisica del loro funzionamento, passando per la complessa danza globale della produzione, fino alle sfide strategiche e umane che ne plasmano il futuro – i chip si trovano al crocevia tra scienza, economia e geopolitica. Nel 2025, il mondo si sta rendendo conto che chi guida la produzione di semiconduttori guida l’economia moderna. Ecco perché assistiamo a scommesse da miliardi di dollari, a dispute internazionali su talenti e materiali, e a un’innovazione frenetica, tutto contemporaneamente.

Per il grande pubblico, tutto questo può sembrare distante – finché non lo è più. Una carenza di chip può rendere le auto più costose o i dispositivi introvabili; un cambiamento di politica può determinare se il prossimo smartphone avrà un processore rivoluzionario o uno arretrato. La buona notizia è che tra il 2024 e il 2025 stanno affluendo investimenti per rafforzare e reinventare la catena di approvvigionamento, nuove tecnologie entusiasmanti sono all’orizzonte e gli esperti del settore stanno collaborando per risolvere i colli di bottiglia dalla litografia alla formazione della forza lavoro. La storia della produzione di semiconduttori è davvero una storia di continua reinvenzione – proprio quando sembra che stiamo raggiungendo un limite, gli ingegneri trovano una nuova strada (che siano chip 3D, EUV o qualcosa che deve ancora arrivare).

Nei prossimi anni, tieni d’occhio alcune cose: I progetti di fabbriche negli Stati Uniti e nell’UE daranno frutti rapidamente? La Cina riuscirà a raggiungere i suoi ambiziosi obiettivi di autosufficienza nonostante le sanzioni? I successori della Legge di Moore, come i chiplet, continueranno a garantire miglioramenti delle prestazioni? Il settore riuscirà a diventare più sostenibile e ad attrarre talenti diversi? Le risposte plasmeranno non solo la tecnologia che usiamo, ma anche il panorama geopolitico ed economico del XXI secolo.

Una cosa è certa: questi minuscoli chip sono diventati enormi per importanza. Le “guerre dei chip” e la corsa al silicio continueranno, ma idealmente attraverso una competizione che stimola l’innovazione e una collaborazione che garantisce stabilità. Alla fine, ogni consumatore e ogni paese potrà beneficiare se l’ecosistema dei semiconduttori resterà vivace, sicuro e sostenibile. Come abbiamo visto, ciò richiederà una gestione abile di tutto, dagli atomi alle politiche commerciali. Il mondo osserva – e investe – come mai prima d’ora in questo settore.

Per chi fosse interessato ad approfondire o a restare aggiornato sugli sviluppi, ecco alcune risorse pubbliche e letture di approfondimento sulla produzione di semiconduttori e sulle tendenze del settore:

  • Semiconductor Industry Association (SIA) – State of the Industry Reports: Rapporti annuali approfonditi con i dati più recenti su vendite, investimenti e aggiornamenti normativi deloitte.com.
  • Deloitte’s Semiconductor Outlook 2025: Analisi delle tendenze di mercato, incluso l’impatto della domanda di IA, la carenza di talenti e la geopolitica deloitte.comdeloitte.com.
  • “Chip War” di Chris Miller: Un libro altamente consigliato che offre un contesto storico sulla rivalità tra Stati Uniti e Cina per i semiconduttori e su come siamo arrivati a questo punto.
  • EE Times e Semiconductor Engineering: Pubblicazioni di settore che coprono notizie quotidiane su innovazioni tecnologiche, problemi della catena di approvvigionamento e roadmap aziendali – ottime per restare aggiornati sugli sviluppi dei processi 3nm/2nm, sulle nuove architetture di chip, ecc.
  • Rapporti del World Economic Forum & Ceres sulla sostenibilità dei semiconduttori: Questi discutono l’impatto ambientale e le iniziative intraprese per affrontare le problematiche legate a acqua ed energia nella produzione di chip weforum.org, blog.veolianorthamerica.com.
  • Siti web e blog aziendali (TSMC, Intel, ASML): Molti leader del settore pubblicano risorse educative o aggiornamenti (ad esempio, gli obiettivi Intel RISE 2030 per la sostenibilità, i briefing tecnici di ASML sull’EUV).

Seguendo queste fonti, si può osservare in tempo reale il dramma della produzione di semiconduttori – un dramma che unisce innovazione all’avanguardia e strategie globali ad alto rischio. Non è un’esagerazione dire che il futuro sarà guidato dai chip, e quindi comprendere questo settore è sempre più essenziale per chiunque sia curioso di sapere dove sta andando il mondo.

I semiconduttori possono essere minuscoli, ma portano il peso del mondo moderno – e ora abbiamo sollevato il sipario su come vengono prodotti, chi li produce e perché sono diventati un punto focale sia di entusiasmo che di tensione sulla scena globale. steveblank.com

___________________________________________________

Fonti:

Prospettive per l’industria dei semiconduttori 2025 | Deloitte Insights

Costruire un percorso sostenibile per l’industria dei semiconduttori

Steve Blank L’ecosistema dei semiconduttori – Spiegato

Cos’è un semiconduttore e a cosa serve? | Definizione da TechTarget

Comprendere il CHIPS, Parte Prima: La sfida della produzione di semiconduttori | Bipartisan Policy Center

Principali paesi produttori di semiconduttori nel 2020-2030: Statistiche di produzione ed esportazione | PatentPC

Il Chips Act UE da 43 miliardi di euro ottiene il via libera. – TechHQ

Chips Act: Il Consiglio dà l’approvazione finale – Consilium.europa.eu

Trasformare le sfide in opportunità in un settore globale dei semiconduttori…

TSMC apprezza le competenze giapponesi nei chip dopo le difficoltà degli USA, dicono le fonti | Reuters

Comprendere i CHIPS, Parte Prima: La Sfida della Produzione di Semiconduttori | Bipartisan Policy Center

Il Cambiamento dei Chiplet: Evoluzione degli Standard di Interfaccia e Commerciale…

Programmi CHIPS R&S – Semiconductor Industry Association

La fine della legge di Moore non rallenterà il ritmo del cambiamento

La globalizzazione è finita, secondo il fondatore di TSMC • The Register

L’amministratore delegato di ASML afferma che il desiderio degli Stati Uniti di limitare le esportazioni verso la Cina è ‘motivato economicamente’ | Reuters

Rapporto sullo stato dell’industria 2025: Investimenti e innovazione in mezzo a…

Comprendere i CHIPS, Parte Prima: La sfida della produzione di semiconduttori | Bipartisan Policy Center

Un terzo (32%) della prevista fornitura di semiconduttori da 1 trilione di dollari…

La produzione di semiconduttori e la sfida idrica delle big tech | World Economic Forum

Costruire un percorso sostenibile per il futuro dell’industria dei semiconduttori

La produzione di semiconduttori e la sfida idrica delle big tech | World Economic Forum

TSMC raggiunge un accordo con il sindacato dell’Arizona per il progetto della fabbrica di chip da 40 miliardi di dollari

‘Semiconductor Manufacturing Process’ Explained | 'All About Semiconductor' by Samsung Semiconductor

Don't Miss