シリコン革命2025:AIスーパーチップ、チップレットのブレークスルー、そして世界的なICブーム

9月 5, 2025
Silicon Revolution 2025: AI Superchips, Chiplet Breakthroughs, and a Global IC Boom
Superchips, Chiplet Breakthroughs, and a Global IC Boom
  • 2025年4月の世界半導体売上高は570億ドルに達し、前年比22.7%増となった。
  • アナリストは2025年の半導体収益を約7,000億ドルと予測しており、2030年までに1兆ドルに到達する道筋が示されている。
  • AppleはiPhone向けA17 BionicおよびMac向けM3という3nmシステムオンチップをリリースした。
  • IntelのPanther Lake CPUは2025年末に登場予定で、18A(約1.8nm)プロセスで製造され、米国で設計された中で最も先進的なプロセッサとされている。
  • AMDはMI300/MI350 AIアクセラレータを展開し、72基のMI400 GPUを搭載したターンキーHeliosシステムも含まれている。
  • NVIDIAは米国内でAIチップの製造を計画しており、Blackwell GPUおよびAIシステム向けの新たな生産能力に最大5000億ドルを投資する。
  • TSMCは2024年に2nm(N2)プロセスのリスク生産を開始し、量産は2025年末に見込まれている。Samsungは2025年に2nm生産を計画し、Intelは2026~2027年にGAAを用いた18Aを目指している。
  • ASMLは2025年にEXE:5000高NA EUV装置の出荷を開始し、各装置の価格は3億5,000万ユーロを超える。一方、TSMCは初期N2での高NA使用を遅らせ、Intelは2026~2027年の14Aで高NAを計画している。
  • チップレット・エコシステムはUniversal Chiplet Interconnect Express(UCIe)標準を中心に勢いを増し、Chiplet Summit 2025やArmベースのシステムチップレットのCadenceテープアウトが行われた。
  • 自動車用半導体は2025年に850億~900億ドルを超えると予測されており、プレミアムEVには1,000ドル以上のチップが搭載され、NVIDIA Drive Orin/Thor、Mobileye EyeQ Ultra、Tesla Dojo D1がAI対応運転を示している。

集積回路(IC)は私たちのデジタル世界の見えないエンジンであり、2025年はチップの革新と業界成長の画期的な年となりそうです。短期間の低迷の後、半導体セクターは力強く回復しており、2025年4月の世界のチップ売上高は570億ドルに達し、前年同月比で22.7%増となりましたsemimedia.cc。アナリストは、2桁成長が年間半導体収益を新記録(2025年には約7000億ドル)に押し上げると予測していますsemimedia.ccdeloitte.com。これにより、業界は2030年までに目標とする1兆ドル市場への道を歩んでいますdeloitte.com。この急成長は、AIプロセッサーへの爆発的な需要、大規模なデータセンターの建設、自動車および産業用チップの受注回復によって支えられていますsemimedia.ccdeloitte.com。ある経営幹部が「“すべてのデジタルは半導体で動いている”」と述べたように、チップは現代経済において石油と同じくらい戦略的に重要な存在となっていますmitsloan.mit.edu。本レポートでは、2025年のIC技術とビジネスの主な動向を探ります――画期的な技術進歩(3nmチップレット、ナノシートトランジスタ、量子ハイブリッドなど)から、重要な市場トレンド(AI加速、エッジコンピューティング、自動車向けシリコンブームなど)、そして世界のチップ情勢を再構築する地政学的な動きまで。

2025年の最新チップ革新とニュース

最先端プロセッサ: 2025年にはすでに、次世代チップがコンピューティング分野全体で登場しています。たとえばコンシューマーエレクトロニクス分野では、Appleの最新3 nmシステムオンチップ(スマートフォンのA17 BionicやノートパソコンのM3など)が、さらなる小型化の進展を示しており、より多くのトランジスタを搭載して高性能と低消費電力を両立しています。一方、PCおよびサーバー向けCPUも新しいアーキテクチャやパッケージングを採用しています。Intelの次期「Panther Lake」プロセッサは2025年後半に登場予定で、Intelの18Aプロセス(約1.8 nmクラス)で製造され、「米国で設計・製造された中で最も先進的なプロセッサ」と称されていますreuters.com。ライバルのAMDも同様に、CPUをTSMCの最先端ノードへ移行中です。2024~25年のZen 5ファミリーは4 nmおよび3 nmバリアントを採用し、数十コアを搭載、さらにAIアクセラレーションエンジン(AMDによるXilinx買収技術を活用)も統合して機械学習タスクを高速化していますen.wikipedia.org, anandtech.com。グラフィックスおよびAI分野では、NVIDIAの最新「Hopper」および次期「Blackwell」GPUが新たなフロンティアを切り開き続けています。これらのチップは数万個のコアを搭載し、並列AI計算に最適化されており、NVIDIAは最新のデータセンター向けAIスーパー チップが前世代比でAI推論が30倍高速だと主張していますtechcrunch.com。こうした飛躍は、特化型シリコンが従来のムーアの法則のスケーリングよりも速く進化していることを示しています。「我々のシステムはムーアの法則よりもはるかに速く進化している」とNVIDIAのCEOジェンスン・フアンは述べ、これらの大きな進歩はチップのアーキテクチャ、システム、ソフトウェアの同時革新によるものだとしていますtechcrunch.comtechcrunch.com

AIアクセラレーターブーム: 2025年の明確なテーマは、AIアクセラレーターの軍拡競争です。GPUを超えて、ほぼすべての主要プレイヤーが人工知能向けに特化したシリコンを展開しています。NVIDIAはハイエンドAIチップで依然として優位を保っていますが、競合他社も着実に追い上げています。例えばAMDは、2025年中頃に新しいMI300/MI350シリーズデータセンター向けAIアクセラレーターを発表し、NVIDIAの主力製品に挑戦する性能向上を誇っています。2025年6月の「Advancing AI」イベントでは、AMDはOpenAIのCEOをステージに招き、OpenAIが今後のMI300X/MI400チップをインフラに採用することを発表しましたreuters.com。AMDの野心的な計画には、72基のMI400 GPUを搭載したターンキーAIスーパーコンピューター(「Helios」サーバー)や、NVIDIAのDGXシステムに直接対抗する戦略、そして「オープンコラボレーション」の方針が含まれています。「AIの未来は、1社だけやクローズドなエコシステムで築かれるものではありません。業界全体のオープンな協力によって形作られるのです」と、AMDのCEOリサ・スーはNVIDIAのより独占的なアプローチを暗に批判しましたreuters.com。スタートアップもイノベーションを牽引しており、Cerebras(ウェハーサイズのAIエンジン)やGraphcore(インテリジェンス・プロセッシング・ユニット)などの企業が、ニューラルネットワークを加速する新しいチップ設計を模索しています。さらに、ハイパースケーラー(Google、Amazon、Meta)も独自のAIシリコンを持っており、例えばGoogleのTPU v5やAmazonのInferentiaチップは、膨大なワークロードに合わせて設計されています。その結果、クラウドスーパーコンピューターからスマートフォンやIoT機器でニューラルネットワークを動かせる小型のエッジAIチップまで、AIに最適化されたICの多様性はかつてないほど広がっています。

注目すべき2025年の発表: 2025年には注目を集めるICがいくつかリリースまたは発表されました。NVIDIAは、初めて米国でAIチップを製造する計画を発表し、TSMCなどと提携して次世代「Blackwell」GPUおよびAIシステムの新たな米国生産能力に最大5,000億ドルを投資することで話題となりましたmanufacturingdive.com。インテルは大規模な再建の中で、異なるプロセスノードや異なるファブからのタイルを組み合わせたチップレットベースのクライアントPCプロセッサ(第14世代Meteor Lake)を発表しました。これはインテルのラインナップで初めてであり、PC側での機械学習を可能にする専用AIコプロセッサも含まれています。モバイルSoCのリーダーであるクアルコムは、デバイス上での生成AI(スマートフォンのAIカメラ機能や音声アシスタントなど)向けに強化されたAIテンソルアクセラレータを搭載したSnapdragon 8 Gen3プラットフォームを発表しました。自動車分野では、テスラが自動運転AIトレーニング用スーパーコンピュータを駆動するDojo D1チップ(7nm製造)を発表し、従来の自動車用チップサプライヤー(NXP、Infineon、Renesasなど)も最新の運転支援システムやEV電力管理をサポートする新しい車載グレードプロセッサを展開しています。さらに、アナログおよびRF IC分野でもイノベーションが見られ、例えば新しい5G無線トランシーバーやWi-Fi 7チップセットが2025年に登場し、より高速なワイヤレス接続を実現。高性能データコンバータや電源管理ICなどのアナログチップの進化もデジタルプロセッサの重要なパートナーであり続けています。要するに、2025年のニュースは、あらゆる分野でより高速で、よりスマート、より効率的なチップが豊富に登場し、ムーアの法則がトランジスタの微細化だけでなく、巧みな設計や用途特化の最適化によっても生き続けていることを示しています。

チップ設計、製造、材料の進歩

これらの製品のブレークスルーの背後には、同様に重要なチップの設計と製造方法の進歩があります。半導体業界は、リソグラフィ、トランジスタアーキテクチャ、パッケージング、材料など複数の分野で前進を続けており、従来のスケーリングが鈍化する中でも性能と集積度の向上を目指しています。

EUVリソグラフィー&2nmプロセスノード: 製造技術において、2025年は2nm世代への移行を示し、初のゲート・オール・アラウンド(GAA)ナノシートトランジスタが大量生産に導入されます。TSMCとサムスンという主要ファウンドリーが、2nmプロセスの初披露をめぐって激しい競争を繰り広げています。TSMCの2nm(N2)は順調に進行しており、2024年にリスク生産、2025年後半に量産が予定されていますen.wikipedia.orgts2.tech。これは第1世代ナノシートFETを特徴とし、速度と電力効率でフルノードの飛躍が期待されています。2022年に3nmでGAAトランジスタを先駆けて導入したサムスンも、2025年に2nm生産を開始する計画ですen.wikipedia.orgが、報道によればTSMCが歩留まりとタイミングで優位に立っているようですts2.tech。インテルのロードマップも同様に積極的です。FinFETを7nm(Intel 4)および4nm(Intel 3)で導入した後、インテルは20Aおよび18Aノード(約2nmおよび約1.8nm)でGAAに移行します。2025年6月のVLSIシンポジウムで、インテルは18AがGAAトランジスタに加えバックサイド電源供給や新しいインターコネクトなどの新技術を採用し、2023年ノードと比べて30%超の高密度化と約20%の高速化(または36%の低消費電力)を実現すると詳細を発表しましたts2.tech。最初の18Aチップ(インテルのPanther LakeノートPC向けCPU)は2025年末までに登場する見込みですts2.tech。同時期にAMDなどのファウンドリー顧客も2026年に2nm製品の投入を計画しています。こうして、2025~26年には業界は正式に「オングストローム時代」(2nm未満のシリコン)に突入し、複数の企業がプロセスリーダーシップを競うことになります。

これらの微細な機能を実現するためには、最新のリソグラフィ技術が不可欠です。極端紫外線(EUV)リソグラフィは、13.5nmの光波長で動作し、現在では7nm、5nm、3nmノードで主流となっています。次のステップはHigh-NA EUVであり、数値開口数が0.55(従来の0.33から向上)となる次世代EUVスキャナーで、さらに微細なパターンを描画できます。2025年には、オランダの装置メーカーASMLが最初のHigh-NA EUV装置(EXE:5000シリーズ)を半導体メーカー向けにR&D用として出荷開始しましたts2.tech。2025年半ばまでに、Intel、TSMC、Samsungはそれぞれ自社ラボに初期のHigh-NA装置を導入しましたts2.tech。しかし、この技術のコストと複雑さから、導入は慎重に進められています。各High-NA装置の価格は3億5,000万ユーロ超(現行EUVスキャナーのほぼ2倍)ですts2.tech。TSMCは、最初の2nm世代でHigh-NAを使う「説得力のある理由」はまだ見つかっていないとして、従来型EUVをもう少し延長して使う方針を示していますts2.tech。実際、TSMCは初期のN2(「A16」と呼ばれる)ノードではHigh-NA EUVを使用しないことを確認していますts2.tech。一方、Intelは全面的に導入する方針で、Intel 14Aプロセスで2026~2027年にHigh-NA EUVを導入し、プロセスリーダーシップの奪還を目指していますts2.tech。Intelは2025年に最初のHigh-NA試作装置を受領し、2026年のパイロット生産を目指していますts2.tech。業界のコンセンサスとしては、2025~2027年はHigh-NAの製造実証期間となり、本格的な量産利用はこの10年の後半になる見込みですts2.tech。いずれにせよ、ASMLはすでに第2世代High-NA装置(EXE:5200)の出荷準備を「間もなく」進めており、これは大規模ファブ導入に必要な量産モデルとなりますts2.tech要するに:リソグラフィ技術は天文学的なコストを伴いながらも進化を続けており、ムーアの法則を生かし続けるための重要な手段であり続けています。

チップレットと先進パッケージング: 従来のモノリシックチップがサイズや歩留まりの限界に達する中、業界はチップレットアーキテクチャを採用し始めています。これは、大きなチップ設計をより小さな「チップレット」またはタイルに分割し、パッケージ内で統合する手法です。このアプローチは2025年までに爆発的な人気となりました。なぜなら、複数の課題を解決するからです。歩留まりの向上(小さなダイは欠陥が少ない)、システムの異なる部分に異なるプロセスノードを組み合わせて使えること、そして段階的な改良の市場投入までの時間とコストの削減community.cadence.comです。システムオンチップを分離することで、エンジニアは例えば、CPUコアを最先端ノードで製造しつつ、アナログやI/O機能は安価なノードで作り、それらを高帯域幅インターフェースで接続できます。AMDはこの分野の先駆者で、2019年以降のZenシリーズPCプロセッサはチップレット(複数のCPUコア「ダイ」とI/Oダイ)を採用し、2025年にはGPUやアダプティブSoCにもチップレット設計が使われています。IntelのMeteor Lake(2023/2024年)も同様に、Intel独自プロセスで作られたコンピュートタイルと、TSMC製のグラフィックスタイルを組み合わせ、すべてをIntelのFoveros 3Dスタッキングで接続したタイル型CPUを導入しました。エコシステムは急速にチップレット間インターコネクトの標準化を進めており、新しいUCIe(Universal Chiplet Interconnect Express)規格は、すべての主要プレイヤーが支持し、将来的に異なるベンダーや異なるファブで作られたチップレット同士がシームレスに通信できる共通のダイ間インターフェースを定義していますcommunity.cadence.com。これにより、企業が特定のタイル(CPU、GPU、AIアクセラレータ、IO、メモリ)を専門的に製造し、システム企業がそれらを自由に組み合わせられる「オープンチップレットマーケットプレイス」が実現する可能性があります。チップレットベースの設計は、より高いモジュール性と柔軟性を約束し、トランジスタ単位の進歩が鈍化してもパッケージレベルで「ムーアの法則」を実質的に拡張できるのですcommunity.cadence.com。その勢いの証拠として、Chiplet Summit 2025では業界リーダーが標準化を議論し、CHIPCon 2025のようなカンファレンスでは、私たちが「チップレット革命の最前線にいる」ことが強調され、専門家たちが2.5D/3D統合やダイ間通信の新手法を紹介しましたmicross.com。EDA企業も参入しており、例えばCadence Designは、Armベースの「システムチップレット」デモのテープアウトに成功し、マルチチップレット統合に対するEDAおよびIPのサポートを示しましたcommunity.cadence.com

チップレットと並行して、先進パッケージング技術も重要です。これには2.5Dパッケージング(チップレットをインターポーザーや有機基板上に高密度配線で実装する方法)や、3D積層(ダイを文字通り上下に積み重ねて接合する方法)が含まれます。TSMCのCoWoSおよびSoICパッケージング、SamsungのX-Cube、IntelのEMIBおよびFoverosは、複数のシリコンダイを高密度で組み合わせる手法の例です。2025年には、メモリ・オン・ロジック積層も製品に見られます。AMDのサーバーCPUは3D積層キャッシュ(CPUダイの上に追加のSRAMダイを接合してキャッシュメモリを増やす)を提供し、HBM(High Bandwidth Memory)スタックは、GPUやAIアクセラレータとパッケージ内で統合され、膨大なメモリ帯域幅を実現しています。これらのパッケージングの革新により、エンジニアは単一ダイのスケーリングの限界を、垂直方向に機能を追加することで克服できます。業界リーダーは、異種統合――異なるチップレット、メモリ、さらにはフォトニックやセンサーダイまでも1つのパッケージで組み合わせること――が、純粋なトランジスタスケーリングによる効果が薄れる中で、システム性能向上の主要な推進力となっていると指摘していますmicross.com

新素材 ― シリコンを超えて: シリコンが依然として主力である一方、2025年は「ワイドバンドギャップ」半導体のより広範な採用や、ポストシリコン素材の探求が注目されています。パワーエレクトロニクスや自動車用途では、窒化ガリウム(GaN)炭化ケイ素(SiC)デバイスが急速に成長しています。これらの素材はシリコンよりも高電圧・高温・高速スイッチングに対応できるため、電気自動車(EV)インバーター、高効率充電器、5G基地局に最適です。実際、性能の限界に挑む産業分野では、すでに多くの場合でシリコンから移行が進んでいます。「800Vアーキテクチャを採用する電気自動車はシリコンの損失を許容できず、SiCが求められる。電力密度を追求するデータセンターや民生機器はGaNに注目している」と、ある業界分析は述べていますmicrochipusa.com。2025年には、GaNトランジスタが一部の民生用途(スマートフォンの急速充電器など)でシリコンとコスト面で同等となり、SiCデバイスも年間約20%のコスト削減で拡大していますmicrochipusa.com。アナリストは、2026年までに新型EVの半数以上がSiCまたはGaNパワーデバイスを採用すると予測しており、技術の成熟が進んでいますjakelectronics.com。その結果、より効率的な電力変換が実現し、SiCを用いたEVインバーターは5~10%の効率向上(航続距離の延長につながる)を達成し、GaNを用いたデータセンターの電源は大幅な省エネと冷却コスト削減を実現していますmicrochipusa.com。要するに、GaNとSiCはパワーエレクトロニクスの常識を塗り替えつつあり、シリコンが限界に達していた分野で、より小型・低発熱・高効率なシステムを可能にしていますmicrochipusa.com

研究の最前線では、さらにエキゾチックな材料が開発中です。2025年には、試作CMOSチップ上で2D半導体材料遷移金属ダイカルコゲナイドなど)の実験室デモが行われましたts2.tech。これは、将来的にシリコンを補完または置き換える可能性のある、原子レベルの薄さのトランジスタチャネルへの興味深い道筋です。研究者たちはまた、相補型FET(CFET)構造、カーボンナノチューブ、およびスピントロニクス材料や強誘電体材料を用いて、現在のCMOSの限界を超えようとしています。IBMが2021年にナノシートトランジスタを用いた2nmテストチップを発表したこと(サムスンやTSMCがこれを基に発展)は、ブレークスルーが数年で研究室から工場へと移行する一例ですen.wikipedia.org。さらに電子伝導を超えて、集積フォトニクスも登場しています。2025年には、チップ間の高速光通信のためのフォトニックICのさらなる統合が進みました(電気的インターコネクトのボトルネックを緩和するため)micross.com。総じて、シリコンはいまだ王者ですが、業界はコンピューティングの今後数十年の進歩を確実にするために、新しい材料やデバイス物理の積極的な探求を続けています。

AI、エッジ、車載、量子:2025年の主要ICトレンド

AIはあらゆる場所に:クラウドからデバイスまで

生成AIブームが昨年テック業界を席巻し、2025年にはシリコン設計にその影響が現れています。前述の通り、データセンター向けAIチップ(GPU、TPU、FPGAなど)は非常に高い需要があり、AIアクセラレーターチップの市場規模は2024年に2倍以上となり約1,250億ドル(全半導体売上の20%超)に達しましたdeloitte.com。2025年には1,500億ドルを超えると予測されていますdeloitte.com。これにより、チップ企業の間で最高のAIエンジンを開発しようというゴールドラッシュが巻き起こっています。NVIDIAのCEO、ジェンスン・フアンは、私たちはコンピューティング性能の新たな法則を目の当たりにしているとまで述べました。「私たちのAIチップはムーアの法則よりもはるかに速いペースで進化している」と彼は語り、その理由をシリコンとソフトウェアの垂直統合にあるとしていますtechcrunch.com。実際、NVIDIAのソフトウェアエコシステム(CUDAやAIライブラリ)とシリコンの組み合わせは大きな優位性をもたらしていますが、挑戦者も現れています。私たちはあらゆる規模でのAI特化を目にしています。クラウドデータセンターでは、企業がより多くのAI専用プロセッサを導入しており(例えば、AmazonのAWSはカスタムInferentia2チップ搭載インスタンスを提供、GoogleはTPU v4ポッドなど)、一方でコンシューマーデバイスでは、新しいNPU(ニューラルプロセッシングユニット)がスマートフォンやPC、さらには家電製品にも組み込まれ、AI推論をローカルで処理しています。2025年のスマートフォンは、リアルタイムの言語翻訳、画像強調、バイオメトリック認証などのタスクを、データをクラウドに送信せずに毎秒数十億回の演算を行うAIコプロセッサを標準搭載しています。PCメーカーも「AI PC」をアピールしており、インテルの次期Core Ultraシリーズ(Movidius IP由来のニューラルエンジンを統合)やクアルコムのOryon PCプロセッサなどのチップにより、AI支援のオフィスアプリケーションや高度なセキュリティ機能などが端末上で実現可能となっています。

注目すべきトレンドは、エッジでのAIです。これは、AIアルゴリズムをIoTデバイス、ウェアラブル、センサー上で実行することを指します。これにより、超低消費電力のAI ICやTinyML(マイクロコントローラ上での機械学習)が登場しました。Ambiqのようなスタートアップは、数ミリワットで簡単なAIタスクを実行できる専用ハードウェアを備えたマイクロコントローラを開発しています。実際、Ambiqの2025年のIPOは、「エッジAIの波に乗る」として熱狂的に迎えられ、インテリジェンスをエッジにもたらすチップへの投資家の期待を示しましたeetimes.com。同様に、MythicのアナログAIチップHimaxのAIビジョンプロセッサは、スマートカメラから補聴器まであらゆるものにニューラルネットワークを組み込むためのチップを設計するニッチなプレーヤーの例です。オープンソースAIの動きもハードウェアと交差しています。たとえば、人気のオープンAIフレームワーク向けのアクセラレータや、RISC-V CPU上での実行サポートなどが発表されており、AIを独自エコシステムの枠を超えて民主化しています。まとめると、AIアクセラレーションはもはやスーパーコンピュータに限定されず、標準機能としてICのあらゆる分野に広がり、各用途の電力・性能ニーズに合わせて最適化されています。

エッジコンピューティング&IoTシリコンブーム

接続デバイスの普及、すなわちモノのインターネット(IoT)は、半導体の主要な成長ドライバーであり続けています。エッジコンピューティングは、データをクラウドデータセンターではなくローカルデバイスで処理するため、効率性、セキュリティ、統合性を重視した新しいクラスのICが必要です。2025年には、スマートセンサー、ホームオートメーション、医療用ウェアラブル、産業用IoT向けに、マイクロコントローラやワイヤレスチップが驚異的な量で出荷されています。これらの「エッジ」ICはますます高機能化しており、最新のマイクロコントローラは、AI命令拡張を備えた32ビット/64ビットコア(多くはArm Cortex-Mや新興のRISC-Vコア)に加え、オンチップ無線(Bluetooth、Wi-Fi、Zigbeeなど)や強化されたセキュリティ(暗号エンジン、セキュアエンクレーブ)を搭載し、実質的にIoT向けのシステムオンチップソリューションとなっています。例えば、Espressifの最新Wi-FiマイクロコントローラやNXPのEdgeLockチップは、これらすべての機能を統合し、スマートスピーカーでの音声認識から工場センサーでの異常検知まで、データを暗号化しつつローカルで確実にタスクを処理できるエッジデバイスを実現しています。

重要なのは、コンピュートをエッジに押し出すことで遅延が減少し、プライバシーが向上する可能性があることです(音声や映像などの生データをクラウドに送信する必要がなくなるため)。これを認識し、大手テック企業もエッジAIに注力しています。例えば2025年には、MicrosoftとQualcommがスマートフォンやPC上で大規模言語モデルの推論を実行する取り組みを発表し、AppleのCoreMLフレームワークは、Apple Neural Engineを活用してiOSアプリでのオンデバイス機械学習を可能にしています。エッジAIチップの市場は急速に拡大しています。具体的な兆候の一つとして、エッジに特化した半導体企業が投資家の注目を集めていることが挙げられます。例えばAmbiqは、ウェアラブル向け超低消費電力AI処理への期待から2025年のIPOで株価が急騰しましたeetimes.com。さらに、RISC-Vアーキテクチャ(オープンソースのCPU ISA)は、そのカスタマイズ性とライセンスコストゼロのため、IoTやエッジ分野で強い足場を築いています。2025年までに、RISC-Vコアは無数のIoTチップに搭載されており、大手企業の中にも(自動車用MCUのInfineonやIoTコントローラのMicrochipなど)今後の製品ラインでRISC-Vへの移行を発表するところが出てきましたeetimes.com

これらすべてが意味するのは、エッジデバイス向け半導体市場が拡大しているということです。ネットワークのエッジにあるデバイスが増えることで、マイクロコントローラ、接続チップ、センサー、電源管理ICの販売が増加します。「シリコン含有量」は日常のあらゆる物に増えています――スマートサーモスタットや照明からAR/VRヘッドセット、ドローンまで。業界レポートでは、これらの分野が2025年以降も堅調な成長を続けると予測されており、毎年数十億のIoTノードが新たに稼働しています。エッジIC設計者にとっての課題は、厳しい電力・コスト制約の中で高性能を実現することですが、2025年のアーキテクチャの進化(小型AIアクセラレータや効率的なRISC-V設計など)がそのニーズに応えつつあります。

車載IC:新たな成長エンジン

車は事実上「車輪の上のコンピュータ」であり、この現実が自動車用半導体のブームを牽引しています。過去数年、チップ不足が自動車生産を停止させたことでこのことが強調されましたが、2025年には自動車メーカーが熱心に供給を確保し、カスタムチップの設計まで行っています。現代の車両、特に電気自動車や自動運転対応車は、1台あたり数百個のチップを必要とし、単純なセンサーやレギュレーターから高性能プロセッサまで多岐にわたります。これにより、自動車分野は半導体業界で最も成長が速い主要セグメントとなっています。アナリストによれば、自動車用半導体市場は2025年に850億~900億ドルを超える見込み(前年比約12~16%増)techinsights.comautotechinsight.spglobal.com、さらに車両1台あたりの電子部品搭載量の増加に伴い今後も成長が続くと見られています。参考までに、プレミアム電気自動車には1台あたり1,000ドル以上の半導体が搭載されており、バッテリーマネジメントやインバーター(多くのSiCパワーMOSFETを使用)、インフォテインメントシステム、ADASセンサー、通信モジュール、各種ボディ・安全機能用の多数のマイコンなど、あらゆるものを駆動しています。

自動車用ICの主なトレンドには、電動化(パワーエレクトロニクスやバッテリーマネジメントICが必要で、SiCが高効率電力変換で大きく進出中microchipusa.com)、および自動化(高性能コンピューティングとセンシングが必要)が挙げられます。NVIDIA、Mobileye(Intel)、Qualcommなどの企業が、運転支援や自動運転向けの「AIブレイン」供給をめぐり激しく競争しています。NVIDIAの最新Drive OrinおよびThor SoCは数百億個のトランジスタを搭載し、カメラ・レーダー・LiDARデータをリアルタイムで処理するために毎秒数兆回の演算を行います。多くの新型EVやロボタクシープラットフォームがこれらを基盤としています。ビジョンベースの車載チップのパイオニアであるMobileyeは、2025年に完全自動運転を目指したEyeQ Ultraを発表し、QualcommのSnapdragon RideプラットフォームはスマートコックピットやADASシステム向けに複数の自動車メーカーで採用されています。Teslaは自社開発のFSD(完全自動運転)チップをAutopilot向けに継続的に改良しており、自動車メーカーが差別化のためにカスタムシリコンへ直接投資するトレンドを示しています。Appleも(EV/自動運転分野を視野に)自動車グレードのチップを開発中との噂があります。

サプライチェーンの面では、自動車メーカーや政府は2020~2021年の不足から学びました。自動車グレードのチップ専用の生産能力(古いが非常に信頼性の高いプロセスノードが必要)を増やす動きが進んでいます。例えばTSMCは自動車用MCU向けに28nmや16nmの生産能力を拡大し、米国や日本の新工場(政府支援あり)も自動車・パワー半導体に特化して計画されています。さらに、トヨタとデンソーのチップ生産協業や、GMと半導体サプライヤーの連携など、長期的な供給確保のための取り組みも進んでいます。

要するに、半導体は自動車の性能や機能を定義する上でエンジンと同じくらい重要な存在となっています。これは市場の成長だけでなく、イノベーションも促進しています。例えば、自動車用チップは現在、特定の分野でリードしています。たとえば、極端な温度や長寿命に耐える必要があり、パッケージングや材料技術を進化させています。また、車両の接続性(V2X通信)は、先進的なRFチップを車両に導入する分野です。2025年までには、自動車用ICで優れた企業が自動車業界の未来の中心となることは明らかです。「ソフトウェア定義車両」というトレンド――新機能が高性能な車載チップに依存したソフトウェアアップデートで提供される――は、シリコンが新たな馬力であることをさらに確固たるものにしています。あるレポートによれば、自動車用半導体の収益は今後10年で倍増すると予想されています infosys.com, techinsights.com。このことは大きなチャンスであることを示しています。

量子-古典ハイブリッドコンピューティング

従来のシリコンチップが進化を続ける一方で、量子コンピューティングは全く異なるパラダイムとして登場しています。そして興味深いことに、量子コンピューティングと古典コンピューティングの統合が2025年のトレンドとなっています。量子プロセッサ(量子ビット)は依然として制限がありエラーも多いため、近い将来のビジョンは、ハイブリッドシステム――量子コプロセッサが高性能な古典コンピュータと並行して動作する――です。2025年の主要な業界の取り組みはこの融合を反映しています。例えば、NVIDIAはDGX Quantumを発表しました。これは、最先端のGPUの1つとスタートアップQuantum Machinesの量子コントローラを密接に連携させ、量子-古典アルゴリズムを協調的に実行できるプラットフォームですquantum-machines.co。このような構成により、量子コンピュータがアルゴリズムの実行中にGPUにタスクをシームレスに引き渡したり(逆もまた然り)できるようになり、量子AI研究などに不可欠です。同様に日本では、富士通と理研が256量子ビット超伝導量子コンピュータを従来型スーパーコンピューティングプラットフォームに統合する計画を発表し、従来のCPU/GPUが問題の一部を処理し、量子チップが量子加速の恩恵を受ける部分を担当するハイブリッド量子サービスの提供を目指していますfujitsu.com

大手クラウドプロバイダーも、ハイブリッドAPIを用いたQuantum-as-a-Serviceの構築を進めています。たとえばMicrosoftのAzure Quantumは、開発者がAzureの従来型コンピュートと量子ハードウェア(パートナー企業やMicrosoft独自の研究デバイス)を1つのワークフローで利用できるようにしていますnews.microsoft.com。これを可能にするハードウェアには、キュービットとインターフェースする専用の制御IC(多くは極低温で動作)や、量子ラックと従来型サーバー間の大容量リンクなどが含まれます。チップレベルでも、研究者たちは従来型と量子コンポーネントの共同実装を模索しています。たとえば、いくつかの実験的設計では、キュービットアレイを、それらのキュービットを制御・読み出すCMOS回路と同じ基板上に統合しています。これは本質的に、初期段階の「量子SoC」と言えるでしょう。

別のアプローチとしては、企業が従来型チップを使って量子アルゴリズムをシミュレーションしたり、強化したりするケースもあります。IBMの最新の量子ロードマップ(IBMは2021年に127キュービットデバイスを展開し、2025年には1,000キュービット超を目指している)では、エラー訂正やキュービット制御のための改良された従来型エレクトロニクス、たとえば極低温で動作可能なカスタムICの重要性が強調されています。そして興味深いことに、量子インスパイアードアルゴリズムが従来型スーパーコンピュータ上で動作することでプロセッサ設計にも影響を与えています。たとえば、いくつかのHPCチップは、量子回路シミュレーションを反映した線形代数タスク向けに最適化されています。

このように、「量子-従来型ハイブリッド回路」というフレーズは、過渡期を象徴しています。量子コンピュータを完全に別物とみなすのではなく、現在は統合システムに焦点が当てられています。2025年時点では、実用的な量子コンピューティングはまだ初期段階ですが、こうしたハイブリッドの取り組みが基盤を築いています。クロスポリネーション(異分野融合)の一例として、Microsoftのトポロジカルキュービット研究では、マヨラナ準粒子をホストするためにインジウムヒ素やアルミニウムなどの特殊材料を用いた新しい極低温チップ(Majorana 1)の開発が必要となりましたnews.microsoft.com。これは、量子ハードウェアの進化がしばしばチップ製造や材料科学の限界を押し広げることを示しています。

まとめると、2025年に量子コンピューティングが従来型チップを置き換えるのではなく、補完する形で発展していると言えます。業界は、特定のタスク(医薬分子のシミュレーションや最適化問題など)で量子アクセラレータを従来型プロセッサと組み合わせて活用する方法を模索中です。IBM、Google、Intel、Microsoft、Amazon、そしてIonQやRigettiのようなスタートアップなど、すべての大手テック企業がこのハイブリッドアプローチを追求しています。量子ハードウェアがゆっくりと、しかし着実に進化する中で、従来型ICとの統合はさらに深まっていくでしょう。将来のスーパーコンピュータには、CPU/GPUモジュールの隣に「QPU」モジュールが搭載され、キュービットの言語を話す新しいタイプのICも登場するはずです。これはまだ始まったばかりですが、今後のコンピューティングを再定義しうるエキサイティングなトレンドです。

2025年の主要プレイヤー、スタートアップ、そして市場動向

業界大手と戦略: 2025年の集積回路業界の情勢は、少数の巨大企業によって形作られており、それぞれが大胆な動きを見せています:

  • インテル: 長年にわたりx86の巨人であったインテルは、新しいリーダーシップのもとで大規模な再建の真っ只中にあります。数年間の製造ミスや、1986年以来初となる年間赤字(2024年には188億ドルの純損失)reuters.comを経て、インテルは戦略を大きく転換しました。長年CEOを務めたパット・ゲルシンガー氏(2021年就任)は2025年にLip-Bu Tan氏に交代し、彼はインテルのファウンドリ事業とプロセスロードマップを即座に見直しましたreuters.com。インテルが掲げた大胆な目標「4年間で5ノード」が試されています。Intel 7とIntel 4ノードは生産中、Intel 3も間もなくですが、最も重要なのは20Aと18A(2nmクラス)で、2024~25年を目標としています。ロイターは新CEOが14A(1.4nm)への注力と18Aの重要性低下を検討していると報じており、たとえ数十億ドルの研究開発費を損失計上しても、AppleやNVIDIAのような外部顧客により競争力のあるプロセスを提供するためだといいますreuters.com。インテルは、主要なファウンドリ顧客の獲得が将来の鍵であることを認識しており、特に他社のチップ製造のために自社工場を開放し、主要な受託チップメーカーを目指しています。そのため、2025年に驚くべき展開がありました。インテルとTSMCの合弁事業提案です。TSMCはインテルの工場運営を引き継ぎ(TSMCが最大50%を所有)、NVIDIA、AMD、Broadcom、Qualcommなど他社にも出資を呼びかける案を提示したと報じられていますreuters.com。この計画は米国政府の後押しもあり、TSMCの専門知識を活用してインテルの製造を立て直すことが目的ですが、完全な所有権は譲らない(ワシントンはインテルが「完全な外国所有」にならないことを主張)という条件付きですreuters.com。数年前なら考えられなかったこの合弁案は、TSMCのプロセス優位を前にしたインテルの新たな現実主義を示しています。製品面では、インテルはGPU(ARCグラフィックスやPonte Vecchioデータセンターチップ)や特殊アクセラレータ(AI・ネットワークチップ)などに注力しつつ、主力のPC・サーバーCPU事業でAMDと競っています。チップレットや異種統合(Meteor Lakeや今後のArrow Lake CPUに見られる)の採用も戦略的転換です。政府の支援(CHIPS法)もあり、インテルはオハイオ、アリゾナ、ドイツで新工場を建設し、ファウンドリ受注獲得を目指しています。2025~2026年が「正念場」であり、技術リーダーシップを取り戻すか、さらに後れを取るかの分かれ道だという危機感が、提携や再編の背景にあります。
  • TSMC: 台湾セミコンダクター・マニュファクチャリング・カンパニー(TSMC)は、依然として他に並ぶもののない純粋なファウンドリーのリーダーであり、Apple、AMD、NVIDIA、Qualcomm、その他数えきれないほどの企業向けにチップを製造しています。TSMCは最先端技術において卓越しており(大量生産で7nm、5nm、3nmを最初に実現)、不可欠な存在となっています。2025年、TSMCは3nm(N3)の量産拡大を進めており、Appleは2023年後半にA17チップでいち早く採用しました。また、2nm(N2)を2025年後半のリスク生産に向けて準備中ですen.wikipedia.org。新しいノードを安定して提供し続ける能力が顧客の忠誠心を維持しています。例えば、TSMCの3nmの歩留まりは80~90%に達しているとされ、ライバルのサムスンを大きく上回っており、Appleの3nm全量受注などのビジネス獲得につながりましたts2.tech。TSMCの現在の課題は地理的拡大と生産能力です。台湾に対する地政学的懸念から、TSMCは海外工場への投資を進めており、アリゾナ(米国)熊本(日本)に工場を建設中です。アリゾナのプロジェクトは2024~25年の稼働予定でしたが、遅延やコスト超過に直面しました。しかし、TSMCは米国の顧客や政府の強い後押しを受け、2つの工場(N4および将来的にN3プロセス)を設立するために追加で400億ドルを投資することを約束しました。2025年には、TSMCが米国での総投資額を1,000億ドルに増額し、今後数年で3つの新工場と2つの先端パッケージング施設を建設するとの報道も出ましたpr.tsmc.comfinanceyahoo.com。同様に、ヨーロッパではTSMCがドイツと自動車向けノードに特化した工場について協議していました。これらの拡張は一部ホスト国政府によって資金提供されており、TSMCは効率性のために生産の大半を台湾に集中させてきたため、このグローバルな展開は大きな転換点です。技術面でもTSMCは多角化を進めており、(5G RFチップ向けのN6RFや自動車向けのN5Aなど)特殊プロセスの提供や、先端3DパッケージングSoICWoW – ウェハー・オン・ウェハー積層技術)への投資も行っています。TSMCの経営陣は、GAAトランジスタや3D実装などのイノベーションによってムーアの法則は継続可能との慎重な楽観論を示す一方、コスト上昇への警鐘も鳴らしています。財務的にもTSMCは非常に堅調ですが、2023年は世界的な在庫調整の影響で売上がやや減少しました。2024~2025年はHPCや自動車向け需要により成長が再開すると見込まれています。要するに、2025年のTSMCは世界のICサプライチェーンの要であり、その動き(ノードロードマップのような技術的なものも、インテルとのJVや地域工場のような戦略的なものも)すべてが業界全体に波及効果をもたらします。
  • サムスン電子: サムスンは、最先端ファウンドリレベルのもう一つのプレーヤーです(トップのメモリチップメーカーでもあります)。2022年に3nm GAAFETで先行しましたが、歩留まりと生産量で苦戦しました。2025年、サムスンは3nmの歩留まり改善に注力しています(大口顧客を引き付けるため―例えば、GoogleのTensor G5モバイルチップを3nmで受注しましたts2.tech)し、2nmを2025~26年までに en.wikipedia.org目指しています。しかし、業界関係者の多くは、サムスンはプロセスの準備状況でTSMCよりやや遅れていると見ていますts2.tech。サムスンはまた、製品ポートフォリオが独特で、自社でモバイルプロセッサ(Exynos)やイメージセンサーなどを設計しつつ、他社の製造も行っています。2025年には、サムスンのロジック部門は高性能コンピューティングの受注(Nvidiaのチップ製造の一部、特定のGPUバリアントやチップパッケージングのライセンス契約など)で後押しされました。サムスンのメモリ事業(DRAM/NAND)は低迷期を経験しましたが、AIによる高帯域幅メモリ需要の高まりで回復が見込まれています(サムスンはGPUで使われるHBMや高速GDDRメモリのリーダーです)。サムスンの大きな取り組みの一つは、メモリとロジックの3D統合で、CPU上にDRAMを直接積層してメモリボトルネックを解消するデモも行っています。さらに、サムスンはMRAMやGAAトランジスタなど2nm以降を見据えた新材料の研究開発や、学術機関と連携した2D材料の探索にも投資を続けています。商業面では、サムスンファウンドリーはファブレス企業の顧客基盤拡大を目指しており、TSMC以外で先端ノードを希望する企業にとって数少ない選択肢の一つです。韓国政府も、サムスン(およびSKハイニックス)を半導体大国として維持するため、独自の人材・研究開発プログラムを含めて支援しています。
  • AMD: 2025年、AMDは数年前に行った賭けの成果を享受しています。AMDは、PCおよびサーバー市場で大きなシェアを持つx86 CPUのインテルに対するトップ競合企業としての地位を確立しており、TSMCのプロセス優位性とAMDのチップレット設計のリーダーシップを活かしたZen 4およびZen 5ファミリーを展開しています。AMDのEPYCサーバープロセッサー(Genoa以降)は最大128コアを搭載し、コストパフォーマンスでインテルのXeonをしばしば上回るため、主要なクラウドプロバイダーや企業が採用しています。GPU分野では、AMDのRadeonグループはAI分野でNvidiaに遅れを取っていますが、同社はその状況を変えるために多額の投資を行っています。CEOのリサ・スー博士の下、AMDは戦略的な買収を実施し、特にXilinx(FPGA)を2022年にPensando(DPU)を買収して、アダプティブコンピューティングやネットワーキング分野のポートフォリオを拡大しました。2025年にはこれらが実を結び、AMDはCPU、GPU、FPGA、SmartNICといった幅広いデータセンター向けシリコン製品群を提供できるようになり、インテルやNvidiaに迫るラインナップとなっています。2025年のAMDの大きな戦略はAIアクセラレータ分野であり、MI300 APUはCPUとGPUを大容量HBMメモリとともに1パッケージに統合し、HPCやAIトレーニング用途をターゲットにしています。さらに、MI350およびMI400シリーズGPUを発表し、AI推論性能で前世代比35倍の向上を謳っていますfinance.yahoo.com。NVIDIAがAI分野で依然として圧倒的な存在感を持つ一方、AMDはオープンエコシステムアプローチ(例:ROCmなどのオープンソフトウェアの活用や、新しいMI300ベースのシステムでオープンネットワーキング標準を採用し、独自のNVLinkの代わりとすることを発表reuters.com)によって、クラウドAIインフラの有力な選択肢として自らを位置付けています。AMDは主要なハイパースケーラーとの緊密なパートナーシップ(MicrosoftとのAIクラウドインスタンス発表や、MetaやOracleなどがイベントに登壇reuters.com)を通じて、一定の成果を上げていることを示しています。財務面では、AMDは2022年から2024年にかけて急成長しましたが、2025年はクライアントPC分野(PC市場の低迷により)では横ばい、データセンターや組み込み(Xilinx)分野では好調が見込まれます。課題の一つは、世界的なAIチップ需要の高まりでファウンドリーの生産能力が逼迫する中、TSMCから十分な供給を確保できるかどうかです。AMDはまた、チップレットおよび3Dダイ技術の推進も続けており、ハイブリッドCPU(高性能コアと高効率コアの混在、異なるノードのチップレットの組み合わせも含む)や、3D積層キャッシュ、さらにはロジックの活用拡大も計画しています。総じて、2025年のAMDは10年前とは全く異なる企業へと変貌し、CPU分野のイノベーションリーダー、そして半導体業界全体での有力なプレーヤーとして認識されています。
  • NVIDIA: NVIDIAの台頭は業界を象徴するストーリーの一つであり、2025年にはAIブームを背景に1兆ドル企業という稀有な地位に到達しました。「ファブレス」GPU大手は、事実上AIアクセラレータ市場を独占しており、A100やH100のデータセンター向けGPUは世界中のAIラボの主力となりました(米国が中国への輸出規制でこれらのチップを特に標的にしたほどです)。2025年にはNVIDIAのAIハードウェア需要が非常に高く、データセンター運営者は供給確保に奔走しています。NVIDIAのデータセンター収益は過去最高を記録し、株価は2023~24年に約3倍に急騰しました。CEOのジェンスン・フアンは、従来のCPU中心のコンピューティングが「アクセラレーテッド・コンピューティング」へと移行しつつあり、特にAI分野でGPUや専用アクセラレータが主役になるというビジョンを示しています。製品面では、NVIDIAのL40SおよびH100 GPU(TSMCの4Nおよび5Nプロセスを採用)が大量出荷されており、次世代の「Blackwell」アーキテクチャGPU(2025~26年登場見込み)も準備中で、さらなる性能向上が期待されています。NVIDIAはプラットフォーム戦略も拡大しており、チップだけでなくDGX H100サーバーのようなシステム全体や、AIスーパーコンピュータ(NVIDIA独自のDGX Cloudなど)も提供しています。さらに、NVIDIAは一部でGPU IPのライセンス提供やソフトウェアスタックの一部公開も始めており、NVLinkインターコネクトの統合を他社に許可する可能性も示唆しています。これはオープンスタンダードへの圧力が高まる中での動きですreuters.com。最も注目すべき戦略的動きとして、NVIDIAは初めて米国内で一部チップを製造する計画を発表しました。今後数年で数千億ドル規模の投資を行い、TSMCやFoxconnなどと提携してアリゾナ州などに先端パッケージングや生産施設を建設する予定ですmanufacturingdive.com。フアン氏は「世界のAIインフラのエンジンが初めて米国で作られようとしている」と述べ、AIチップ需要の増大とサプライチェーン強靭化のために国内生産がいかに重要かを強調しましたmanufacturingdive.com。これは米国の政策目標とも一致しており(米政府が関税や補助金で国内製造を推進する中での動きです)。自動車分野ではNVIDIAのDriveプラットフォームが大きな採用を獲得し、クラウドゲーミングやプロフェッショナルグラフィックスでもNVIDIAが依然リードしています。NVIDIAが手を広げている分野の一つがCPUで、Grace CPU(Armベース)はHPCシステムでGPUと組み合わせて使われる予定で、特定市場で従来のCPUベンダーとの競争の可能性も示しています。まとめると、2025年のNVIDIAは非常に大きな影響力を持ち、AIコンピューティングの方向性を形作り、ハードウェアとソフトウェアを共同設計しています。しかし、AIチップ新興企業や他の大手企業からの競争や地政学的リスク(中国への輸出規制。中国はデータセンターGPUの20~25%市場だった)といった課題も抱えています。それでも現時点ではNVIDIAの地位は堅固であり、フアン氏は「スタック全体」(シリコン、システム、ソフトウェア)でイノベーションを起こすことで、NVIDIAは業界標準を上回り続けられると大胆に主張しています techcrunch.com.
  • Qualcomm: スマートフォンチップの王者は、多様化する市場に適応している。QualcommのSnapdragon SoCは依然として多くのAndroidスマートフォンやタブレットに搭載されており、高性能CPU(Armコア)、Adreno GPU、AI DSP、5Gモデム、ISPなどを1つのチップに統合している。2025年には、Qualcommの最新Snapdragon 8 Genシリーズ(TSMC 4nm製造)はオンデバイスAIを強調しており、同社は大規模言語モデルをスマートフォン上で動作させるデモを行っている。しかし、世界的なスマートフォン出荷台数は成熟しているため、Qualcommは自動車およびIoT分野へ積極的に拡大している。自動車事業(Snapdragon Digital Chassis)は数十億ドル規模の受注残を抱え、コネクティビティ、インフォテインメント、ADASチップを自動車メーカーに提供している。例えば、QualcommはGMやBMWへのシステム供給契約を獲得し、自動車向け売上は急速に成長している。IoTやウェアラブル分野では、QualcommはAR/VRヘッドセット、スマートウォッチ、産業用IoTアプリケーション向けにチップのバリエーションを開発している。転機となったのは、QualcommによるNuvia(先進的なArm CPUコア設計を持つスタートアップ)の2021年の買収であり、2025年までにQualcommはカスタムOryon CPUコア(Nuvia技術に基づく)を投入し、ノートPCの性能を強化し、AppleのMシリーズチップに効率性で挑戦する見込みだ。これが成功すれば、Qualcommは2024~2025年にWindows PC向けの競争力あるArmベースチップでノートPC/PC市場に再参入し、Intel/AMDが支配する分野で独自の地位を築く可能性がある。もう一つの分野はRISC-Vであり、QualcommはRISC-Vマイクロコントローラ(例:Bluetoothチップ)を試験的に導入し、一部のIPでArmへの依存を減らそうとしている。トップファブレスIC設計会社として(売上高でQualcommは世界のファブレス企業の中で1位にランクされているsemimedia.cc)、Qualcommの戦略的動きは注目されている。2025年のQualcommは、特許ライセンス紛争(例:Nuvia技術を巡るArmとの継続的な法廷闘争)やAndroid SoC分野での競争激化(MediaTek、GoogleのTensorなど)に直面しているが、幅広い製品ポートフォリオとワイヤレス分野(5G Advancedおよび6Gへの取り組み)でのリーダーシップにより、最前線に立ち続けている。財務面では、Qualcommは5Gスマートフォン需要で2021年に好調だったが、2023年には減速を経験。2025年にはスマートフォン在庫が正常化し、自動車/IoT分野の成長が始まることで安定化が見込まれる。まとめると、QualcommはワイヤレスDNAとSoCの専門知識を活かし、スマートフォン市場の成長が頭打ちとなる中でも、依然として支配的な存在であり続け、新たな成長ドライバーを模索している。
  • Apple: Appleは伝統的な半導体企業ではありませんが、IC業界への影響は非常に大きいです。TSMCの最大顧客であり、カスタムシリコンがコンシューマーデバイスで達成できる新たな基準を打ち立てました。Appleが自社製のM1/M2シリーズチップ(5nmおよび5nm+)をMac向けに開発した決断は、ワットあたりの印象的な性能によって正当化されており、2025年にはMac向けにM3(3nm)、iPhone向けにA18(3nmまたは2nm)を採用している可能性が高いです。Appleの戦略は、社内で設計したチップを自社ソフトウェアに最適化して緊密に統合することで、スマートフォンやPCでベンチマークをリードするCPU、グラフィックス、AIアクセラレータを実現しています。これにより、Intel、AMD、Qualcommなどに競争圧力がかかっています(実際、Appleの成功がQualcommによるNuvia買収を促し、PC向けArmコアの強化につながりました)。Appleは独自の補助シリコンも設計しています:カスタム画像プロセッサ、Neural Engine、接続チップ(独自の5Gモデムも開発中ですが、そのプロジェクトは遅延しています)。2025年には、Appleが自社製のセルラーモデムチップを準備しており、最終的にはiPhoneのQualcomm製品を置き換えると噂されています――もし成功すれば困難ですが業界を変える動きです。さらに、Appleの拡張現実(Vision Proヘッドセット)への進出も、M2や新しいR1センサーフュージョンチップなどのカスタムチップに依存しています。これらのAppleの動きは、より広いトレンド――システム企業が差別化のために垂直統合してチップ設計に進出する――を象徴しています。Appleの規模とリソースはこれを独自に効果的に実現していますが、他にもTesla(車載FSDチップ)やAmazon(GravitonサーバーCPU)などが自分たちの分野で同じパターンに従っています。市場ダイナミクスの観点から見ると、Appleの巨額な半導体調達(年間数百億ドル規模)と最先端キャパシティの独占利用(iPhoneチップ向けにTSMCの最新ノードを最初に確保することが多い)は、業界全体の需給に影響を与えています。例えば、2023~2024年のAppleによるTSMC 3nmの採用は、他社の初期キャパシティをほとんど残さず、彼らの製品タイムラインに影響を与えました。このように、Appleは外部にチップを販売していませんが、半導体トレンドの重要なプレーヤーです――パッケージングイノベーションを牽引したり(例:M1 Ultraは2つのM1 Maxダイをシリコンインターポーザーで接続し、先進的なパッケージングを実現)、単に消費者の性能期待値を引き上げたりしています。2025年もAppleは毎年のチップ改良を続け、新たなカテゴリ(ウェアラブルやARデバイスなど)で驚きをもたらすかもしれません――すべては、著名なチップチーム(多くは元PA-Semiや業界のベテラン)によるシリコン設計エンジンによって支えられています。

スタートアップの動きと新規参入者: 半導体分野の活発なイノベーションは既存大手だけに限りません。過去数年で数十億ドル規模のベンチャーキャピタルが半導体スタートアップに流入し、しばしば「チップスタートアップブーム」と呼ばれるルネサンスが起きています(2000年代の長い停滞の後)。2025年には、これらのスタートアップの一部が成果を出し始める一方、資本集約型産業で競争する厳しい現実に直面する企業もあります。注目すべきスタートアップの主な分野は以下の通りです:

  • AIアクセラレータ: これはスタートアップにとって最も熱い分野となっています。Graphcore(英国)、SambaNova(米国)、Cerebras(米国)、Mythic(米国、アナログコンピューティング)、Horizon Robotics(中国)、Biren Technology(中国)など、多くの企業がAIワークロード向けに特化したチップを開発するために登場しました。それぞれが独自のアーキテクチャ的特徴を持っています。たとえば、Graphcoreは多数コアのIPUと大容量オンチップメモリ、Cerebrasは一度に大規模ネットワークを学習できる記録的なウェハサイズチップ(85万コア)、Mythicはアナログインメモリコンピューティングなどです。2025年までに、これらの一部は特定のニッチ市場を見つけています(例えばCerebrasは一部の研究所で使われており、その技術は中東の合弁事業にも採用されました)が、NVIDIAの支配力は高い障壁となっています。それでも新たなスタートアップは次々と登場しており、しばしばエッジAI低消費電力プライバシー重視AIなど、特定のAIニッチをターゲットにしています。2025年の注目株の一つはTenstorrent(伝説的なチップアーキテクトJim Kellerが率いる)で、RISC-VベースのAI/CPUハイブリッドチップを設計しています。これはクロスポリネーション(異分野融合)の代表例であり、既存企業との提携も進めています(例:Samsungが一部設計の製造を担当)。
  • RISC-Vとオープンハードウェア: RISC-V ISAの台頭により、RISC-Vベースのプロセッサやマイコンを開発するスタートアップが多数誕生しました。SiFive(RISC-Vの発明者が設立)は設計IPやカスタムコアを提供しており、2025年にはSiFiveのIPが自動車用チップ、IoTコントローラ、さらにはNASAの次世代宇宙プロセッサにも使われています。中国では、制裁下で国産CPUの代替を目指し、RISC-Vスタートアップが急増しています(例:StarFive、AlibabaのT-Head、Nucleiなど)eetimes.com。欧州でも、技術主権を目指す政府主導の取り組みの一環としてRISC-Vベンチャーが見られますeetimes.com。米国では、VentanaEsperantoのような高性能RISC-VサーバーCPUに特化したスタートアップが、データセンターでArmやx86に挑戦しようとしています。まだ初期段階ですが、いくつかのRISC-Vチップは先端ノードでテープアウトしており、性能面での可能性を示しています。オープンソースハードウェアの動きはCPUにとどまらず、一部のスタートアップはオープンソースGPU設計やオープンAIアクセラレータなども開発していますが、収益化の課題も抱えています。2025年にはRISC-V Internationalの会員数は数千社(2025年時点で4,600社以上)csis.orgとなり、エコシステムはソフトウェアサポート(Linuxディストリビューション、RISC-V上のAndroidなど)eetimes.comeetimes.comの充実とともに成熟しつつあります。この分野のスタートアップはしばしばイノベーションと地政学的追い風の波に乗っており、複数の国がRISC-Vへの資金提供を通じて海外IPへの依存低減を目指しています。
  • アナログ&フォトニック・コンピューティング: デジタルパラダイムの外側では、いくつかのスタートアップが特殊な利点を求めてアナログまたは光学コンピューティングを探求しています。Mythic(前述)は、アナログフラッシュベースのAI推論に挑戦しました(ただし2023年に財政難に直面)。LightmatterLightOnは、フォトニクスをチップ上に統合し、光速計算でAIを加速するスタートアップです――2025年までにLightmatterは一部の研究所で稼働する光学アクセラレータを持っています。これらはハイリスク・ハイリターンの賭けで、まだ主流にはなっていませんが、ムーアの法則の終焉に非伝統的な手段で取り組むスタートアップの創造性を示しています。同様に、量子アニーリング用のRigetti、IonQ、D-Waveなどの量子コンピューティングスタートアップも、拡張された半導体スタートアップエコシステムの一部と見なせますが、彼らのデバイスは従来のICとは大きく異なります。
  • チップレットおよびIPイノベーター: 新興企業の中には、チップレットや先端パッケージングのインフラに注力するところもあります。例えば、Astera Labs(最近成功したスタートアップ)は、プロセッサとアクセラレータやメモリを接続するチップレット型PCIe/CXL接続ソリューションを提供しており、こうした「グルーチップ」はますます重要になっています。SiFive(前述)やArmスピンオフのようなスタートアップも、チップレットの世界で重要なIPサプライヤー(他社が統合できるコア設計を販売)として機能しています。Universal Chiplet Interconnect Express (UCIe)コンソーシアムのような取り組みもあり、標準化されたダイ間インターフェースのエコシステム構築にスタートアップが参加しています。

全体として、2025年の半導体スタートアップシーンは活気にあふれています。一部の地域ではベンチャーキャピタルや政府助成金の支援も受けています。これらのスタートアップの多くは業界のベテランによって設立されており、実際「Intel exodus」がスタートアップを生み出すトレンドとなっています。Intelなどが再編する中、経験豊富なエンジニアが退社し、スタートアップを設立または参加しました。EE Timesの記事ではこれを「流出の明るい側面」と呼び、新たなベンチャーに才能を注入していると述べていますeetimes.com。もちろん、すべてが生き残るわけではありません。製造コストや、特定市場(AIなど)での既存大手の支配が課題となります。しかし、スタートアップが大手を打倒できなくても、新しいアイデアを推進し、それが採用されることが多いです。たとえば、チップレットのコンセプトは数十年前に小規模企業が先駆け、今や業界標準です。同様に、RISC-Vも学術プロジェクトからスタートアップのエネルギーとコミュニティの努力で商業的な力となりました。

市場ダイナミクスの観点から、もう一つの重要なテーマは統合 vs. 専門化です。2020~2022年には巨大合併が相次ぎました(NVIDIAによるArm買収の試み、AMDによるXilinx買収、IntelによるTower買収など)。2025年までに、規制当局は特に地政学的影響のある大規模合併に対してより厳しい姿勢を取るようになりました(Arm-NVIDIAの取引は2022年に阻止されました)。それでも、業界には少数の支配的な大手と、専門性の高い企業の長い裾野が共存しています。勢力バランスは製造へのアクセス(ファブスペースは限られた資源)や顧客へのアクセス(エコシステムの囲い込み、ソフトウェアサポートが重要――NVIDIAのCUDA、Intel/AMDのx86互換性など)によって左右されます。

市場の動向においてもメモリセグメントを無視することはできません。サムスン、SKハイニックス、マイクロンといった大手メモリメーカーは、景気循環による低迷期を経て、現在は新たな需要(AIは非常にメモリ集約的です)に向けて準備を進めています。2025年には、マイクロンが次世代DDR5およびGDDR7向けにHigh-NA EUV製造のDRAMのサンプル出荷を開始し、SKハイニックスはAIアクセラレータ向けHBM3メモリでリードしています。また、新興の不揮発性メモリ(MRAMやReRAMなど)が、IoTやSoCの組み込みメモリとしてついにニッチ市場を見つけつつあることにも注目が集まっています。

これらすべての要素が2025年の業界構造をダイナミックなものにしています。成長を牽引する巨大な機会がある一方で、激しい競争や地政学的な複雑さも存在しており、次にその点を見ていきます。

IC業界を形作る地政学的・規制的要因

2025年の集積回路分野は真空の中に存在しているわけではなく、グローバルな政治、国家安全保障上の懸念、国際貿易政策と深く絡み合っています。実際、半導体は米中テクノロジー対立の中心的な舞台となり、世界中の産業政策の焦点となっています。この分野での主な動きは以下の通りです。

  • 輸出規制と技術制限: 2022年に始まり、2023年から2025年にかけて強化されたアメリカ(オランダや日本などの同盟国も参加)は、中国への先端半導体および装置の輸出規制を大規模に実施しました。これらの規則は、企業が中国に最先端のAIチップ(例:NVIDIAのA100/H100。ただし性能を落としたバージョンを除く)を販売することや、EUVリソグラフィ装置など最先端の製造装置の輸出を禁止しています。2025年には、米国政府はさらに規制を拡大し、より多くのAIチップや特定のチップ設計ソフトウェアにも適用範囲を広げ、国家安全保障を理由としています。csis.org, sidley.com。これらの動きは、中国の最先端コンピューティング技術(特に軍事や監視AIに利用されうるチップ)での進展を阻止することを目的としています。中国はこれに抗議し、対抗措置を講じています。例えば、2023年にはマイクロンのサイバーセキュリティ審査(米国の大手メモリメーカー)を開始し、最終的に重要インフラで一部マイクロン製品を禁止しました。これは広く報復と見なされています。さらに中国は2025年にNVIDIAや他の米国企業の調査も開始し、自国の巨大市場を交渉材料として活用する可能性を示唆しました。eetimes.com。加えて、中国は2023年に原材料(半導体製造や光学に使われるガリウムやゲルマニウムなど)の輸出規制も実施し、西側諸国の措置に対抗しました。これによりサプライチェーンの相互依存性が浮き彫りになっています。
  • 中国のテクノロジー自立推進: 最先端チップから切り離された中国は、自国の半導体エコシステム構築への取り組みを倍加させています。これには、地元チップ企業向けに数十億ドル規模の資金を投入する「ビッグファンド」第3期の開始、ファブ建設への補助金、そして外国のIPを代替するためのRISC-Vのようなオープン技術への支援が含まれます。前述の通り、中国はRISC-Vを明確に「技術的自立を達成し、地政学的緊張の中で西側が支配するISAへの依存を減らすため」eetimes.comとして受け入れています。SMICのような中国のチップメーカーは、2022年のMinerVAビットコインマイナーチップの分解で見られたように、古いDUV装置を使って7nm相当のノードの生産にも成功したと報じられていますが、能力は限定的です。2025年までに、SMICはEUVなしで5nmクラスのプロセスにも挑戦する可能性がありますが、歩留まりは低いとみられます。中国政府は(2025年までに半導体の70%自給など)野心的な目標を掲げていますが、これは達成されないものの、成熟ノードでは進展が見られます。Huaweiは、中国のテック旗艦企業で、2020年にTSMCから切り離されましたが、2023年にSMIC製の7nm Kirin 9000s SoCを搭載したスマートフォン(Mate 60 Pro)を発表し、量産規模や最先端と同等とはいかないものの、中国が手持ちの技術でやりくりする道を見出すことを示しました。また、人材面でも、中国は海外で教育を受けた多くのエンジニアを呼び戻し、学習曲線を加速させるために知的財産の窃盗にも関与したとされています。地政学的には、これはまさに「チップの軍拡競争」であり、米国が2~3世代のリードを維持しようとし、中国が追いつくか、代替技術の道を模索するという、ハイリスクな競争です。
  • チップ法案とオンショアリング: アメリカは2022年にCHIPSおよび科学法を可決し、国内の半導体研究開発および製造を補助するために520億ドルを割り当てました。2025年までに、これはいくつかの新しいファブプロジェクトという形で成果を上げています:オハイオ州のインテルのファブ(2つ建設中)、TSMCのアリゾナファブ(生産は2025~26年頃まで遅延)、テキサスでのサムスンの拡張、グローバルファウンドリーズやその他の企業による生産能力拡大などです。CHIPS法は、インテルのCEOによって「第二次世界大戦以降で最も重要な米国の産業政策法案」mitsloan.mit.eduと見なされています。パット・ゲルシンガーはその戦略的根拠を強調しました:「過去50年間、地政学は石油によって定義されてきた…今後50年のデジタル時代には、テクノロジーのサプライチェーンは石油よりも重要になる。」mitsloan.mit.edu。つまり、国内(または同盟国)でのチップ生産の確保は、今や経済・国家安全保障のために不可欠と見なされています。同様に、ヨーロッパもEUチップ法(430億ユーロ規模のプログラム)を立ち上げ、2030年までに世界のチップ生産シェアを倍増し、新しいファブ(ドイツ・マクデブルクのインテルのメガファブ計画やフランスのSTマイクロ/グローバルファウンドリーズなど)を支援しています。2025年までに、インテルはドイツから補助金(約100億ユーロ)の増額を交渉し、ファブ建設を進めており、各国がこれらのハイテク投資を誘致するためにいかに競争しているかを示しています。日本はRapidusコンソーシアム(ソニー、トヨタ、政府の出資など)を設立し、IBMの協力を得て2027年までに2nmファブを開発する計画です――日本で先端ロジック製造を復活させる大胆な試みです。韓国も負けじと、10年間で4500億ドルを投資する独自のインセンティブを発表し(主にサムスンとSKハイニックスによる)、チップ大国の地位を維持しようとしています。インドでは、政府がインド国内のファブ創設のために100億ドルを拠出しましたが、グローバルパートナーとの試みは今のところ難航しています。こうした国家主導の活発な動きは、大きな転換点を示しています:数十年にわたるグローバル化と東アジアへのファブ集中の後、生産は地理的に分散しつつあり――ゆっくりではありますが、確実に――各国政府がチップ産業基盤の成長を積極的に主導しています。
  • 貿易同盟と「フレンドショアリング」: 地政学的な緊張は、半導体に焦点を当てた新たな同盟も生み出しています。米国、日本、韓国、台湾(非公式)、そしてヨーロッパは、輸出管理やサプライチェーンの安全保障について協調しています。オランダ(ASMLの本拠地)と日本(ニコン、東京エレクトロンなどの本拠地)は、2023年初頭に米国の対中半導体製造装置輸出規制を反映することで合意し、事実上中国を最先端リソグラフィーから遮断しました。また、「Chip 4」同盟(米国、台湾、日本、韓国)によるサプライチェーンの強靭性強化の協力も議論されています。フレンドショアリングとは、製造拠点を同盟国に移すことを指す用語で、TSMCやサムスンが米国(友好国)や、場合によってはヨーロッパへの投資を進めている一方、米国のファブレス企業は特定地域への過度な依存からの分散を模索しています。しかし、これは複雑です。台湾は依然として要(世界の最先端チップの90%以上が台湾のTSMCで製造)です。台湾を巡るいかなる紛争も、世界のテック経済を一変させることを世界は痛感しています。このリスクこそが、企業が保険として国内生産により多く支払うことに同意する大きな要因の一つです。例えば、AppleはTSMCのアリゾナ工場からチップを購入することを約束しました(当初は台湾工場より技術的に一歩遅れる可能性が高いにもかかわらず)、これは戦略的な分散化の一環です。同様に、TSMCのアリゾナおよび日本での存在も、主要顧客や政府の要請により、より安全な場所での生産を一部担っています。
  • 国家安全保障と規制: 各国は半導体関連投資や知的財産の審査も強化しています。米国は米国人が中国の半導体企業で働くことへの制限や、米国企業(Cadence、Synopsys)が支配するEDAソフトウェアやチップ設計ツールへの中国企業のアクセス制限を検討してきました。一方で中国は、商用技術を防衛に活用する軍民融合プログラムへの支援を強化しています。2025年には輸出管理政策も進化を続けており、例えば米国商務省は特定国への先端的なAIモデルの重みの輸出まで規制するルールを導入しました。clearytradewatch.comsidley.com ― これはAIと半導体が政策上密接に結びついていることを示しています。規制当局の監視は、大型合併(前述)やサプライチェーンの運用にも厳しくなっており、政府は医療やインフラなど重要チップの突発的な不足を避けるため、透明性を求めています。
  • 企業への影響: 米国の半導体企業(NVIDIA、AMD、Lam Research、Applied Materialsなど)は、輸出禁止によって中国ビジネスの一部を失い、収益予測の調整を余儀なくされています。一部の企業は中国向けにスペックを落としたバージョンを開発して対応しています(例:NVIDIAのA800およびH800チップは中国市場向けにA100/H100の代替品として、性能の閾値を下回るようインターコネクトを制限)。中国企業のHuaweiやAlibabaは、制限を回避するための設計競争を繰り広げています(例:複数のローエンドチップを組み合わせたチップレットアーキテクチャの活用や、限られたリソースでより多くを実現するためのソフトウェア最適化に注力)。一方、台湾や韓国の企業は、同盟国の要請に応じつつも中国市場を完全に失わないよう、微妙な立場に置かれています。ヨーロッパでは、自動車メーカーなどがアジアの半導体依存を痛感し、地元の半導体イニシアチブを積極的に支援しています。

要するに、2025年のIC業界は技術だけでなく地政学も大きな要素となっています。「チップ戦争」という言葉が一般的に使われるようになり、半導体分野のリーダーシップが国家にとって最重要の賞品となったことを反映しています。今後数年でこれらの政策の効果が明らかになるでしょう。つまり、技術エコシステムが(西側主導と中国主導で)非互換な標準や分離したサプライチェーンに分岐するのか、それとも緊張の中でもグローバルな協力が続くのか。現時点では部分的なデカップリングが進行中です。中国は自立に向けて資源を投入し、西側は中国の最先端技術へのアクセスを制限し、各国が取り残されないよう巨額の投資を行っています。唯一確かなのは、チップが「戦略的資産」として認識されたことです。Pat Gelsingerはこう述べています。「世界が非常に狭い地域に極度に依存している…これはサプライチェーンのレジリエンスにとって良くない。」 mitsloan.mit.edu そのため、この依存を是正するための一連の行動が起きているのです。

結論と展望

まとめると、2025年は集積回路にとって画期的な年であり、驚異的な技術進歩戦略的重要性の高まりが特徴です。技術面では、ムーアの法則の再発明が進行中です。チップレット、3D積層、新しいトランジスタ設計、AIや計算能力を飛躍的に高めるドメイン特化型アーキテクチャなどが登場しています。チップはかつてないほど高速かつ専門化され、生成AIから自動運転車までのブレークスルーを可能にしています。同時に、半導体産業はグローバルな競争と協調の焦点となっています。各国政府はこれまでにない規模で半導体に投資し、半導体分野のリーダーシップが現代の経済・軍事力の基盤であることを認識しています。これが新たなパートナーシップ(およびライバル関係)を生み、チップの生産地や方法を再構築しています。

一般の人々にとって、これらの進展がもたらす影響は非常に大きいです。より強力で効率的なICは、より優れた消費者向けデバイス、よりスマートなインフラ、新たな可能性(AIアシスタントやより安全な自動運転車など)が現実になることを意味します。しかし同時に、チップがニュースの見出しになる時代にも突入しています――自動車価格に影響を与える供給不足や、各国がシリコン技術を巡って競い合うなどです。「シリコンは新たな石油である」というフレーズはmitsloan.mit.edu、これらの小さな部品が生活や地政学のあらゆる側面でいかに重要になったかを的確に表しています。

今後を見据えると、進化の軌道は今後も続くことが予想されます。2020年代後半には、おそらく1nmクラスのプロセス(2027~2028年頃)en.wikipedia.org、そして初の商用量子アクセラレータがデータセンターに統合され、高度なICによってエッジデバイスでのAIの普及が進むでしょう。また、現在進行中の新素材や新しい計算パラダイムの研究成果が、製品として現れ始めるかもしれません。2030年までに、業界は1兆ドルの年間収益達成を目指していますdeloitte.com。これはAI、自動車、IoTなどからの需要によって後押しされるでしょう。2025年の状況が示す通り、その目標に向かう道のりは、目を見張る技術的ブレークスルー複雑な戦略的駆け引きの両方に満ちていることでしょう。

一つ確かなことは、集積回路は依然としてデジタル革命の中心であり続けているということです。そして、世界がそれに対して抱く期待と依存はかつてないほど高まっています。新しいチップやプロセスの一つ一つが、単なる工学的偉業ではなく、未来のイノベーションの礎であり、グローバルな競争の一歩なのです。この概観を締めくくるにあたり、2025年のIC業界はかつてないほどダイナミックで、まさに科学、ビジネス、地政学の交差点に立っていることが明らかです――あらゆるレベルで世界を変革するシリコン革命なのです。

出典:

semimedia.cc, deloitte.com, techcrunch.com, techcrunch.com, reuters.com, reuters.com, reuters.com, reuters.com, mitsloan.mit.edu, mitsloan.mit.edu, ts2.tech, ts2.tech, community.cadence.com, community.cadence.com, microchipusa.com, eetimes.com

AI, Chiplets, and the Future of Semiconductors

Don't Miss