실리콘 혁명 2025: AI 슈퍼칩, 칩렛 혁신, 그리고 글로벌 반도체 붐

9월 5, 2025
Silicon Revolution 2025: AI Superchips, Chiplet Breakthroughs, and a Global IC Boom
Superchips, Chiplet Breakthroughs, and a Global IC Boom
  • 2025년 4월 전 세계 반도체 매출은 570억 달러로, 전년 대비 22.7% 증가했습니다.
  • 애널리스트들은 2025년 반도체 매출이 약 7,000억 달러에 이를 것으로 전망하며, 2030년까지 1조 달러에 도달할 것으로 내다보고 있습니다.
  • 애플은 아이폰에 A17 Bionic, 맥에 M3 등 3nm 시스템온칩을 출시했습니다.
  • 인텔의 Panther Lake CPU는 2025년 말 출시 예정이며, 18A(약 1.8nm) 공정으로 미국에서 설계된 가장 진보된 프로세서로 평가받고 있습니다.
  • AMD는 MI300/MI350 AI 가속기와 72개의 MI400 GPU가 탑재된 턴키 Helios 시스템을 출시했습니다.
  • NVIDIA는 미국 내 AI 칩 생산을 계획하고 있으며, Blackwell GPU 및 AI 시스템의 신규 생산 능력에 최대 500억 달러를 투자할 예정입니다.
  • TSMC는 2024년 2nm(N2) 공정의 리스크 생산을 시작했으며, 2025년 말 대량 생산이 예상됩니다. 삼성은 2025년 2nm 생산을, 인텔은 2026~2027년 GAA 기반 18A를 목표로 하고 있습니다.
  • ASML은 2025년 EXE:5000 고-NA EUV 장비를 출하하기 시작했으며, 장비당 가격은 3억 5천만 유로를 넘습니다. TSMC는 초기 N2에서 고-NA 사용을 연기했고, 인텔은 2026~2027년 14A에 고-NA를 도입할 계획입니다.
  • 칩렛 생태계는 Universal Chiplet Interconnect Express(UCIe) 표준을 중심으로 활기를 띠었으며, 2025년 칩렛 서밋과 Cadence의 Arm 기반 시스템 칩렛 테이프아웃이 있었습니다.
  • 자동차용 반도체는 2025년 850~900억 달러를 넘어설 것으로 예상되며, 프리미엄 전기차에는 1,000달러 이상의 칩이 탑재되고, NVIDIA Drive Orin/Thor, Mobileye EyeQ Ultra, Tesla Dojo D1이 AI 기반 주행을 보여주고 있습니다.

집적 회로(IC)는 우리 디지털 세계의 보이지 않는 엔진이며, 2025년은 칩 혁신과 산업 성장의 이정표가 될 해로 보입니다. 잠시 침체기를 겪은 후, 반도체 부문은 강하게 반등하고 있습니다 – 2025년 4월 전 세계 칩 매출은 570억 달러로, 1년 전보다 22.7% 증가했습니다 semimedia.cc. 분석가들은 두 자릿수 성장률이 연간 반도체 매출을 새로운 기록(2025년 약 7000억 달러)으로 끌어올릴 것으로 예측합니다 semimedia.cc, deloitte.com, 이는 업계가 2030년까지 1조 달러 시장이라는 목표를 향해 나아가고 있음을 보여줍니다 deloitte.com. 이러한 급증은 AI 프로세서에 대한 폭발적인 수요, 대규모 데이터 센터 구축, 그리고 회복 중인 자동차 및 산업용 칩 주문에 의해 촉진되고 있습니다 semimedia.cc, deloitte.com. 한 임원이 말했듯이, “모든 디지털은 반도체 위에서 돌아간다”며, 칩이 현대 경제에서 석유만큼 전략적으로 중요해졌음을 강조했습니다 mitsloan.mit.edu. 이 보고서에서는 2025년 IC 기술 및 비즈니스의 주요 발전을 살펴봅니다 – 획기적인 기술 혁신(3nm 칩렛, 나노시트 트랜지스터, 양자 하이브리드 등)부터 핵심 시장 트렌드(AI 가속, 엣지 컴퓨팅, 자동차용 실리콘 붐 등), 그리고 글로벌 칩 지형을 재편하는 지정학적 흐름까지 다룹니다.

2025년 최신 칩 혁신 및 뉴스

최첨단 프로세서: 2025년에는 이미 차세대 칩이 컴퓨팅 분야 전반에 걸쳐 등장했습니다. 예를 들어, 소비자 전자제품에서는 애플의 최신 3nm 시스템 온 칩(예: 휴대폰의 A17 Bionic, 노트북의 M3)이 얼마나 소형화가 진전되었는지를 보여주며, 더 많은 트랜지스터를 집적해 더 높은 성능과 더 낮은 전력 소모를 실현합니다. 한편, PC 및 서버 CPU도 새로운 아키텍처와 패키징을 도입하고 있습니다. 인텔의 차세대 “Panther Lake” 프로세서는 2025년 말 출시 예정으로, 인텔의 18A 공정(~1.8nm급)으로 제작되는 최초의 제품이며, “미국에서 설계 및 제조된 가장 진보된 프로세서”로 평가받고 있습니다 reuters.com. 경쟁사 AMD 역시 CPU를 TSMC의 최첨단 공정으로 이전 중입니다. 2024~25년형 Zen 5 시리즈는 4nm 및 3nm 버전을 사용하며, 수십 개의 코어를 탑재하고 AI 가속 엔진(AMD의 Xilinx 인수 기술 활용)까지 통합해 머신러닝 작업을 가속화합니다 en.wikipedia.org, anandtech.com. 그래픽 및 AI 분야에서는 NVIDIA의 최신 “Hopper” 및 차세대 “Blackwell” GPU가 새로운 한계를 계속해서 돌파하고 있습니다. 이 칩들은 수만 개의 코어를 탑재해 병렬 AI 연산에 최적화되어 있으며, NVIDIA는 최신 데이터센터 AI 슈퍼칩이 AI 추론에서 이전 세대 대비 30배 더 빠르다고 주장합니다 techcrunch.com. 이러한 도약은 특화된 실리콘이 전통적인 무어의 법칙보다 더 빠르게 진화하고 있음을 보여줍니다. “우리 시스템은 무어의 법칙보다 훨씬 빠르게 발전하고 있습니다,”라고 NVIDIA CEO 젠슨 황은 말하며, 칩의 아키텍처, 시스템, 소프트웨어에서의 동시 혁신이 이러한 비약적 발전의 원동력임을 밝혔습니다 techcrunch.comtechcrunch.com.

AI 가속기 붐: 2025년의 뚜렷한 테마는 AI 가속기 분야의 군비 경쟁입니다. GPU를 넘어 거의 모든 주요 업체가 인공지능에 특화된 실리콘을 출시하고 있습니다. NVIDIA는 고성능 AI 칩 분야에서 여전히 우위를 점하고 있지만, 경쟁사들도 빠르게 따라잡고 있습니다. 예를 들어, AMD는 2025년 중반에 새로운 MI300/MI350 시리즈 데이터센터 AI 가속기를 공개하며, NVIDIA의 주력 제품에 도전하는 성능 향상을 자랑했습니다. 2025년 6월 “Advancing AI” 행사에서 AMD는 OpenAI CEO를 무대에 초대해 OpenAI가 AMD의 차세대 MI300X/MI400 칩을 자사 인프라에 도입할 것임을 발표하기도 했습니다 reuters.com. AMD의 야심찬 계획에는 72개의 MI400 GPU가 탑재된 턴키 AI 슈퍼컴퓨터(“Helios” 서버) – NVIDIA의 DGX 시스템과 직접적으로 비교 가능한 – 와 “오픈 협업” 전략이 포함되어 있습니다. “AI의 미래는 어느 한 기업이나 폐쇄된 생태계에서 만들어지지 않을 것입니다. 업계 전반의 오픈 협업을 통해 만들어질 것입니다,”라고 AMD CEO 리사 수는 NVIDIA의 더 폐쇄적인 접근 방식을 에둘러 비판하며 말했습니다 reuters.com. 스타트업들도 혁신을 주도하고 있습니다. Cerebras(웨이퍼 크기의 AI 엔진)와 Graphcore(Intelligence Processing Units) 같은 기업들은 신경망 가속을 위한 새로운 칩 설계를 탐구하고 있습니다. 심지어 하이퍼스케일러(Google, Amazon, Meta)들도 자체 AI 실리콘 – 예: Google의 TPU v5, Amazon의 Inferentia 칩 – 을 대규모 워크로드에 맞게 개발하고 있습니다. 그 결과, 클라우드 슈퍼컴퓨터부터 스마트폰이나 IoT 기기에서 신경망을 실행할 수 있는 초소형 엣지 AI 칩에 이르기까지 AI에 최적화된 IC의 전례 없는 다양성이 나타나고 있습니다.

주목할 만한 2025년 발표: 2025년에 여러 주목받는 IC들이 출시되거나 발표되었습니다. NVIDIA는 미국에서 AI 칩을 처음으로 제조할 계획을 발표하며 큰 화제를 모았는데, TSMC 등과 협력해 차세대 “Blackwell” GPU 및 AI 시스템의 미국 내 신규 생산 능력에 5,000억 달러까지 투자할 예정입니다 manufacturingdive.com. 인텔은 대대적인 전환 노력의 일환으로, 서로 다른 공정 노드와 심지어 다른 팹에서 생산된 타일을 혼합한 칩렛 기반 클라이언트 PC 프로세서(14세대 Meteor Lake)를 공개했습니다. 이는 인텔 라인업 최초로, PC에서 머신러닝을 가능하게 하는 특화된 AI 코프로세서도 포함되어 있습니다. 모바일 SoC 선두주자인 퀄컴은 온디바이스 생성형 AI(예: AI 기반 카메라 기능 및 휴대폰 음성 비서)를 위한 AI 텐서 가속기가 강화된 Snapdragon 8 Gen3 플랫폼을 출시했습니다. 자동차 분야에서는 테슬라가 자율주행 AI 학습 슈퍼컴퓨터를 구동할 Dojo D1 칩(7nm 공정)을 발표했고, 전통적인 자동차 칩 공급업체(NXP, Infineon, Renesas 등)도 최신 운전자 지원 시스템과 전기차 전력 관리를 지원하는 새로운 자동차용 프로세서를 출시했습니다. 심지어 아날로그 및 RF IC 분야에서도 혁신이 이어지고 있는데, 예를 들어 2025년의 새로운 5G 무선 트랜시버 및 Wi-Fi 7 칩셋은 더 빠른 무선 연결을 약속하며, 고성능 데이터 컨버터와 전력 관리 IC 등 아날로그 칩의 발전도 디지털 프로세서의 중요한 동반자로 남아 있습니다. 요약하자면, 2025년의 소식은 전반적으로 더 빠르고, 더 똑똑하며, 더 효율적인 칩들로 풍성했으며, 이는 단순한 트랜지스터 스케일링뿐 아니라 영리한 설계와 도메인 특화 최적화를 통해 무어의 법칙을 계속 이어가고 있습니다.

칩 설계, 제조 및 소재의 발전

이러한 제품 혁신의 이면에는 칩이 설계되고 제조되는 방식의 발전도 똑같이 중요합니다. 반도체 산업은 리소그래피, 트랜지스터 아키텍처, 패키징, 소재 등 여러 분야에서 전통적인 스케일링이 둔화되는 상황에서도 성능과 집적도를 계속 향상시키기 위해 전진하고 있습니다.

EUV 리소그래피 & 2nm 공정 노드: 반도체 제조 기술에서 2025년은 2nm 세대로의 전환을 의미하며, 최초의 게이트-올-어라운드(GAA) 나노시트 트랜지스터가 대량 생산에 들어간다. TSMC와 삼성 – 주요 파운드리 업체 – 는 2nm 공정 데뷔를 두고 치열한 경쟁을 벌이고 있다. TSMC의 2nm(N2)는 2024년 위험 생산을 거쳐 2025년 말 대량 생산이 예정되어 있다 en.wikipedia.org, ts2.tech. 이 공정은 1세대 나노시트 FET를 특징으로 하며, 속도와 전력 효율에서 완전한 노드 도약을 제공할 것으로 기대된다. 2022년 3nm에서 GAA 트랜지스터를 처음 도입한 삼성도 2025년 2nm 생산을 시작할 계획이다 en.wikipedia.org, 하지만 보도에 따르면 TSMC가 수율과 일정에서 우위를 점하고 있다 ts2.tech. 인텔의 로드맵도 마찬가지로 공격적이다: 7nm(Intel 4)와 4nm(Intel 3)에서 FinFET을 도입한 후, 인텔은 20A 및 18A 노드(~2nm 및 ~1.8nm)에서 GAA로 전환할 예정이다. 2025년 6월 VLSI 심포지엄에서 인텔은 18A가 GAA 트랜지스터 백사이드 전력 공급과 새로운 인터커넥트와 같은 신기술을 사용할 것이라고 밝혔으며, 2023년 노드 대비 30% 이상의 집적도와 약 20% 더 빠른 속도(또는 36% 더 낮은 전력)를 제공한다 ts2.tech. 최초의 18A 칩(인텔의 팬서 레이크 노트북 CPU)은 2025년 말까지 출시될 것으로 예상된다 ts2.tech – 파운드리 고객사인 AMD가 2026년 자체 2nm 출시를 계획하는 시기와 비슷하다. 따라서 2025~26년에는 업계가 공식적으로 “옹스트롬 시대”(sub-2nm 실리콘)에 진입하며, 여러 기업이 공정 리더십을 두고 경쟁하게 된다.

이러한 미세한 기능을 가능하게 하려면 최신 리소그래피가 필수적입니다. 극자외선(EUV) 리소그래피는 13.5nm 파장의 빛을 사용하며, 현재 7nm, 5nm, 3nm 공정에서 주류로 자리 잡았습니다. 다음 단계는 High-NA EUV로, 수치 개구(Numerical Aperture)가 0.33에서 0.55로 높아진 차세대 EUV 스캐너로, 더욱 미세한 패턴을 인쇄할 수 있습니다. 2025년, 네덜란드 장비 제조사 ASML은 최초의 High-NA EUV 장비(EXE:5000 시리즈)를 반도체 업체에 R&D용으로 출하하기 시작했습니다 ts2.tech. 2025년 중반까지 Intel, TSMC, 그리고 삼성이 각각 자사 연구소에 초기 High-NA 장비를 설치했습니다 ts2.tech. 하지만 이 기술의 비용과 복잡성 때문에 도입은 신중하게 이루어지고 있습니다. 각 High-NA 장비는 3억 5천만 유로(현재 EUV 스캐너의 거의 두 배) 이상의 비용이 듭니다 ts2.tech. TSMC는 첫 2nm 공정에서 High-NA를 사용할 “설득력 있는 이유”를 아직 찾지 못했다며, 기존 EUV를 좀 더 연장해 사용하겠다고 밝혔습니다 ts2.tech. 실제로 TSMC는 초기 N2(“A16”으로 명명) 노드에서는 High-NA EUV를 사용하지 않을 것이라고 확인했습니다 ts2.tech. 반면 Intel은 전면 도입을 선언하며, 2026~2027년까지 Intel 14A 공정에 High-NA EUV를 도입해 공정 리더십을 되찾겠다는 계획입니다 ts2.tech. Intel은 2025년에 첫 High-NA 프로토타입 장비를 받았으며, 2026년 파일럿 생산을 목표로 하고 있습니다 ts2.tech. 업계의 중론은 2025~2027년이 High-NA의 제조 현장 검증 기간이 될 것이며, 실제 대량 사용은 10년대 후반이 되어야 가능할 것이라는 점입니다 ts2.tech. 어쨌든 ASML은 이미 2세대 High-NA 장비(EXE:5200)를 “곧” 출하할 준비를 하고 있으며, 이는 대규모 팹 도입에 필요한 양산형 모델이 될 것입니다 ts2.tech. 결론: 리소그래피는 천문학적 비용에도 불구하고 계속 발전하고 있으며, 무어의 법칙을 유지하는 핵심 수단으로 남아 있습니다.

칩렛과 첨단 패키징: 기존의 단일형 칩이 크기와 수율 한계에 도달함에 따라, 업계는 칩렛 아키텍처를 도입하고 있습니다. 이는 대형 칩 설계를 더 작은 “칩렛” 또는 타일로 분할하여 하나의 패키지로 통합하는 방식입니다. 이 접근법은 여러 문제점을 해결하기 때문에 2025년까지 폭발적으로 인기를 끌었습니다: 더 나은 수율(더 작은 다이는 결함이 적음), 시스템의 각 부분에 서로 다른 공정 노드를 혼합하여 사용할 수 있는 능력, 그리고 점진적 개선에 대한 시장 출시 시간과 비용 절감 community.cadence.com. SoC를 분리함으로써 엔지니어들은 예를 들어, CPU 코어는 최첨단 노드에서 제조하고 아날로그나 I/O 기능은 더 저렴한 노드에서 제조한 뒤, 고대역폭 인터페이스로 연결할 수 있습니다. AMD가 이 분야의 선구자였으며, 2019년 이후 출시된 Zen PC 프로세서 라인업에서 칩렛(여러 개의 CPU 코어 “다이”와 I/O 다이)을 사용했고, 2025년에는 GPU와 적응형 SoC까지 칩렛 설계를 적용하고 있습니다. 인텔의 Meteor Lake(2023/2024) 역시 인텔 자체 공정에서 만든 컴퓨트 타일과 TSMC에서 만든 그래픽 타일을 인텔의 Foveros 3D 스태킹으로 연결한 타일형 CPU를 도입했습니다. 생태계는 칩렛 상호연결을 빠르게 표준화하고 있습니다: 새로운 UCIe(Universal Chiplet Interconnect Express) 표준은 모든 주요 업체가 지원하며, 향후 서로 다른 벤더나 다른 파운드리에서 제작된 칩렛도 원활하게 통신할 수 있도록 공통 다이-투-다이 인터페이스를 정의합니다 community.cadence.com. 이는 기업들이 특정 타일(CPU, GPU, AI 가속기, IO, 메모리) 제작에 특화하고, 시스템 기업이 이를 조합할 수 있는 “오픈 칩렛 마켓플레이스”를 가능하게 할 수 있습니다. 칩렛 기반 설계는 이처럼 더 큰 모듈화와 유연성을 약속하며, 트랜지스터별 개선이 둔화되더라도 패키지 수준에서 사실상 “무어의 법칙”을 확장할 수 있습니다 community.cadence.com. 그 성장세의 증거로, Chiplet Summit 2025에서는 업계 리더들이 모여 표준을 논의했고, CHIPCon 2025와 같은 컨퍼런스에서는 우리가 “칩렛 혁명의 최전선에 있다”고 강조하며, 전문가들이 2.5D/3D 통합 및 다이-투-다이 통신의 새로운 방법을 선보였습니다 micross.com. EDA 기업들도 뛰어들고 있는데, 예를 들어 Cadence Design은 Arm 기반 “시스템 칩렛” 데모를 성공적으로 테이프아웃했다고 발표하며, 다중 칩렛 통합을 위한 EDA 및 IP 지원을 보여주었습니다 community.cadence.com.

칩렛과 함께, 첨단 패키징 기술도 매우 중요합니다. 여기에는 2.5D 패키징(칩렛을 인터포저나 유기 기판 위에 고밀도 배선으로 실장하는 방식)과 3D 적층(실제로 다이를 서로 위에 쌓고 본딩하는 방식)이 포함됩니다. TSMC의 CoWoS 및 SoIC 패키징, 삼성의 X-Cube, 인텔의 EMIB 및 Foveros는 모두 여러 실리콘 다이를 고밀도로 결합하는 방법의 예시입니다. 2025년까지는 메모리-온-로직 적층이 제품에 적용되는 것도 볼 수 있습니다: AMD의 서버 CPU는 3D 적층 캐시(CPU 다이 위에 추가 SRAM 다이를 본딩하여 더 많은 캐시 메모리를 제공)을 제공하며, HBM(고대역폭 메모리) 적층은 GPU 및 AI 가속기 패키지에 일반적으로 통합되어 막대한 메모리 대역폭을 달성합니다. 이러한 패키징 혁신 덕분에 엔지니어들은 단일 다이 스케일링의 한계를 일부 극복하고 수직적으로 더 많은 기능을 추가할 수 있습니다. 업계 리더들은 이기종 집적—서로 다른 칩렛, 메모리, 심지어 포토닉 또는 센서 다이까지 하나의 패키지에 결합하는 것—이 순수 트랜지스터 스케일링의 수익이 감소할 때 시스템 성능 향상의 핵심 동력이 되고 있다고 언급합니다 micross.com.

신소재 – 실리콘을 넘어서: 실리콘이 여전히 주력 소재이지만, 2025년에는 “와이드 밴드갭” 반도체의 폭넓은 도입과 포스트 실리콘 소재의 탐구가 주목받고 있습니다. 전력 전자 및 자동차 분야에서는 질화갈륨(GaN)실리콘 카바이드(SiC) 소자가 빠르게 성장하고 있습니다. 이 소재들은 실리콘보다 더 높은 전압, 더 높은 온도, 더 빠른 스위칭 속도를 처리할 수 있어 전기차(EV) 인버터, 고효율 충전기, 5G 기지국에 이상적입니다. 실제로, 성능 한계를 뛰어넘으려는 산업들은 이미 많은 경우 실리콘을 넘어섰습니다. “800V 아키텍처를 채택한 전기차는 실리콘의 손실을 감당할 수 없으므로 SiC를 요구합니다. 전력 밀도를 추구하는 데이터 센터와 소비자 전자제품은 GaN을 선택합니다,”라는 업계 분석이 있었습니다 microchipusa.com. 2025년까지 GaN 트랜지스터는 일부 소비자용 애플리케이션(예: 휴대폰 고속 충전기)에서 실리콘과 비용이 같아졌고, SiC 소자는 연간 약 20%의 비용 절감과 함께 대량 생산되고 있습니다 microchipusa.com. 분석가들은 2026년까지 출시되는 신형 전기차의 절반 이상이 SiC 또는 GaN 전력 소자를 사용할 것으로 예측하고 있습니다 jakelectronics.com. 그 결과, 전력 변환 효율이 크게 향상되어 SiC를 사용하는 EV 인버터는 5–10%의 효율 향상(즉, 주행 거리 증가)을 얻고, GaN을 사용하는 데이터 센터 전원 공급 장치는 상당한 에너지 및 냉각 비용을 절감합니다 microchipusa.com. 요약하면, GaN과 SiC는 전력 전자의 규칙을 새롭게 쓰고 있으며, 실리콘이 한계에 다다른 곳에서 더 작고, 더 시원하며, 더 효율적인 시스템을 가능하게 하고 있습니다 microchipusa.com.

연구 분야에서는 훨씬 더 이색적인 소재들이 개발 중에 있다. 2025년에는 실험실에서 2D 반도체 소재(예: 전이금속 칼코게나이드)가 프로토타입 CMOS 칩에서 시연되었다 ts2.tech. 이는 언젠가 실리콘을 보완하거나 대체할 수 있는 원자 두께의 트랜지스터 채널로 가는 멀지만 흥미로운 길이다. 연구자들은 또한 Complementary FET (CFET) 구조, 탄소 나노튜브, 그리고 스핀트로닉 및 강유전체 소재를 연구하여 현재 CMOS의 한계를 뛰어넘으려 하고 있다. IBM이 2021년에 나노시트 트랜지스터를 사용한 2nm 테스트 칩을 공개한 것은(삼성과 TSMC가 이를 기반으로 발전시킴) 혁신이 몇 년 만에 실험실에서 생산 공정으로 옮겨지는 한 예시다 en.wikipedia.org. 그리고 전자 전도 현상을 넘어, 집적 포토닉스가 부상하고 있다. 2025년에는 칩 간 고속 광통신을 위한 포토닉 IC의 통합이 더욱 진전되어(전기적 인터커넥트 병목 현상을 완화하기 위해) 이루어지고 있다 micross.com. 종합하면, 실리콘이 여전히 주류이지만, 업계는 컴퓨팅의 향후 수십 년의 발전을 보장하기 위해 새로운 소재와 소자 물리학을 적극적으로 탐구하고 있다.

AI, 엣지, 자동차, 그리고 양자: 2025년 주요 IC 트렌드

AI의 확산: 클라우드에서 디바이스까지

생성형 AI 열풍이 지난 1년간 기술 업계를 휩쓸었고, 2025년에는 실리콘 설계 분야에서 그 열기가 드러나고 있습니다. 앞서 언급했듯이, 데이터 센터용 AI 칩(GPU, TPU, FPGA 등)에 대한 수요가 뜨겁습니다. AI 가속기 칩 시장은 2024년에 2배 이상 성장하여 약 1,250억 달러(전체 반도체 매출의 20% 이상)에 달했습니다 deloitte.com. 2025년에는 1,500억 달러를 넘어설 것으로 전망됩니다 deloitte.com. 이로 인해 칩 업체들 사이에서 최고의 AI 엔진을 만들기 위한 골드 러시가 촉발되었습니다. NVIDIA의 CEO 젠슨 황은 심지어 우리가 컴퓨팅 성능의 새로운 법칙을 목격하고 있다고 언급했습니다. “우리의 AI 칩은 무어의 법칙보다 훨씬 빠른 속도로 발전하고 있다”고 그는 말하며, 그 이유로 실리콘과 소프트웨어의 수직적 통합을 꼽았습니다 techcrunch.com. 실제로 NVIDIA의 소프트웨어 생태계(CUDA 및 AI 라이브러리)는 자사의 실리콘과 결합되어 막대한 이점을 제공했지만, 도전자들도 등장하고 있습니다. 우리는 모든 규모에서 AI 특화가 이루어지는 것을 보고 있습니다. 클라우드 데이터 센터에서는 기업들이 AI 전용 프로세서를 더 많이 도입하고 있습니다(예: 아마존 AWS는 맞춤형 Inferentia2 칩이 탑재된 인스턴스 제공, 구글은 TPU v4 팟 제공 등). 한편, 소비자 기기에서는 새로운 NPU(신경망 처리 장치)가 스마트폰, PC, 심지어 가전제품에도 내장되어 AI 추론을 로컬에서 처리합니다. 2025년의 스마트폰은 실시간 언어 번역, 이미지 향상, 생체 인식 등과 같은 작업을 위해 초당 수십억 번의 연산을 수행하는 AI 보조 프로세서를 기본 탑재하며, 이 모든 것이 데이터를 클라우드로 보내지 않고 이루어집니다. PC 제조사들도 “AI PC”를 내세우고 있는데, 인텔의 차세대 Core Ultra 시리즈(자사 Movidius IP의 신경 엔진 통합)와 퀄컴의 Oryon PC 프로세서 등은 AI 지원 오피스 애플리케이션, 고급 보안 기능 등 다양한 기능을 기기 내에서 실행할 수 있게 합니다.

주목할 만한 트렌드는 엣지에서의 AI입니다. 즉, AI 알고리즘을 IoT 기기, 웨어러블, 센서에서 실행하는 것입니다. 이로 인해 초저전력 AI IC와 TinyML(마이크로컨트롤러에서의 머신러닝)가 등장했습니다. Ambiq와 같은 스타트업은 몇 밀리와트만으로 간단한 AI 작업을 수행할 수 있는 특수 하드웨어가 탑재된 마이크로컨트롤러를 개발했습니다. 실제로 Ambiq의 2025년 IPO는 “엣지 AI 웨이브를 타고 있다”는 평가와 함께 큰 호응을 얻었으며, 이는 엣지에 지능을 부여하는 칩에 대한 투자자들의 기대감을 보여줍니다 eetimes.com. 이와 유사하게, Mythic의 아날로그 AI 칩Himax의 AI 비전 프로세서는 스마트 카메라부터 보청기에 이르기까지 모든 것에 신경망을 내장하기 위해 칩을 설계하는 틈새 업체들의 예시입니다. 오픈소스 AI 운동도 하드웨어와 교차하고 있습니다. 예를 들어, 인기 있는 오픈 AI 프레임워크용 가속기와 RISC-V CPU에서 실행 지원 등이 발표되고 있어, AI를 독점 생태계를 넘어 민주화하고 있습니다. 요약하자면, AI 가속은 더 이상 슈퍼컴퓨터에만 국한되지 않고, 각 사용 사례의 전력 및 성능 요구에 맞게 IC 스펙트럼 전반에 걸쳐 표준 기능이 되고 있습니다.

엣지 컴퓨팅 & IoT 실리콘 붐

연결된 기기의 확산, 즉 사물인터넷은 반도체의 주요 성장 동력으로 계속 자리잡고 있습니다. 엣지 컴퓨팅은 데이터를 클라우드 데이터센터가 아닌 로컬 기기에서 처리하기 때문에, 효율성, 보안, 통합을 강조하는 새로운 종류의 IC가 필요합니다. 2025년에는 스마트 센서, 홈 자동화, 의료 웨어러블, 산업용 IoT를 위해 마이크로컨트롤러와 무선 칩이 엄청난 물량으로 출하되고 있습니다. 이러한 “엣지” IC는 점점 더 강력해지고 있습니다. 최신 마이크로컨트롤러는 32비트/64비트 코어(주로 Arm Cortex-M 또는 신흥 RISC-V 코어)를 탑재하고, 내장 AI 명령 확장, 온칩 무선(블루투스, Wi-Fi, Zigbee 등), 향상된 보안(암호 엔진, 보안 엔클레이브)까지 갖추고 있어, 본질적으로 IoT를 위한 시스템 온 칩 솔루션입니다. 예를 들어, Espressif의 최신 Wi-Fi 마이크로컨트롤러나 NXP의 EdgeLock 칩은 이러한 모든 기능을 통합하여, 스마트 스피커의 음성 인식부터 공장 센서의 이상 감지까지, 데이터를 암호화한 채로 로컬에서 신뢰성 있게 처리할 수 있는 엣지 기기를 가능하게 합니다.

중요하게도, 컴퓨팅을 엣지로 이동시키면 지연 시간이 줄어들고 프라이버시가 향상될 수 있습니다(오디오나 비디오와 같은 원시 데이터를 클라우드로 보낼 필요가 없기 때문입니다). 이를 인식한 대형 기술 기업들도 엣지 AI에 집중하고 있습니다. 예를 들어, 2025년 마이크로소프트와 퀄컴은 스마트폰과 PC에서 대형 언어 모델 추론을 실행하기 위한 노력을 발표했으며, 애플의 CoreML 프레임워크는 애플 칩의 Neural Engine을 활용해 iOS 앱에서 온디바이스 ML을 가능하게 합니다. 엣지 AI 칩 시장은 이처럼 빠르게 성장하고 있습니다. 한 가지 뚜렷한 신호는: 엣지 중심 반도체 기업들이 투자자들의 주목을 받고 있다는 점입니다. 예를 들어 Ambiq는 웨어러블 기기에서 초저전력 AI 처리에 대한 기대감으로 2025년 IPO에서 주가가 급등했습니다 eetimes.com. 또한, RISC-V 아키텍처(오픈소스 CPU ISA)는 맞춤화 가능성과 라이선스 비용이 0원이라는 장점 덕분에 IoT와 엣지 분야에서 강력한 입지를 다지고 있습니다. 2025년까지 RISC-V 코어는 수많은 IoT 칩에 탑재되어 출하되고 있으며, 일부 대기업(예: 자동차 MCU용 인피니언, IoT 컨트롤러용 마이크로칩)도 향후 제품 라인에 RISC-V로 전환하겠다고 발표했습니다 eetimes.com.

이 모든 것은 엣지 디바이스 반도체 시장이 확대되고 있음을 의미합니다. 네트워크 엣지에 더 많은 디바이스가 생기면, 더 많은 마이크로컨트롤러, 연결 칩, 센서, 전력 관리 IC가 판매됩니다. 일상 사물의 “실리콘 함량”이 증가하고 있습니다. 스마트 온도조절기와 조명부터 AR/VR 헤드셋, 드론까지 다양합니다. 업계 보고서는 2025년 이후에도 이 분야의 견고한 성장을 전망하고 있으며, 매년 수십억 개의 IoT 노드가 새롭게 온라인에 연결되고 있습니다. 엣지 IC 설계자들의 과제는 제한된 전력과 비용 내에서 더 높은 성능을 제공하는 것이며, 2025년의 아키텍처 발전(예: 소형 AI 가속기, 효율적인 RISC-V 설계)이 이러한 요구를 충족시키고 있습니다.

자동차용 IC: 새로운 성장 엔진

자동차는 사실상 바퀴 달린 컴퓨터다라는 현실이 자동차용 반도체 시장의 붐을 이끌고 있습니다. 지난 몇 년간 칩 부족으로 자동차 생산이 중단되면서 이 점이 강조되었고, 이제 2025년에는 완성차 업체들이 공급망을 적극적으로 확보하고 심지어 맞춤형 칩 설계에도 나서고 있습니다. 현대 차량, 특히 전기차와 자율주행 기능을 갖춘 차량은 차량 한 대당 수백 개의 칩이 필요합니다. 이는 단순 센서와 조절기부터 고성능 프로세서까지 다양합니다. 이로 인해 자동차 분야는 반도체 산업에서 가장 빠르게 성장하는 주요 부문이 되었습니다. 애널리스트들은 자동차용 반도체 시장이 2025년에 850억~900억 달러를 넘어설 것(전년 대비 약 12~16% 성장)이라고 추정합니다 techinsights.com, autotechinsight.spglobal.com, 그리고 차량 한 대당 전자 부품 비중이 늘어나면서 계속 성장할 전망입니다. 예를 들어, 프리미엄 전기차 한 대에는 1,000달러 이상의 반도체가 탑재되어 있으며, 이는 배터리 관리와 인버터(여기에는 많은 SiC 파워 MOSFET이 사용됨)부터 인포테인먼트 시스템, ADAS 센서, 커넥티비티 모듈, 다양한 차체 및 안전 기능을 위한 수십 개의 마이크로컨트롤러까지 모든 것을 구동합니다.

자동차용 IC의 주요 트렌드로는 전동화가 있습니다. 이는 파워 일렉트로닉스와 배터리 관리 IC를 필요로 하며, SiC가 효율적인 전력 변환을 위해 큰 진전을 보이고 있습니다 microchipusa.com. 그리고 자동화는 고성능 컴퓨팅과 센싱을 요구합니다. NVIDIA, Mobileye(인텔), Qualcomm과 같은 기업들이 운전자 지원 및 자율주행을 위한 ‘AI 두뇌’ 공급을 두고 치열하게 경쟁하고 있습니다. NVIDIA의 최신 Drive Orin 및 Thor SoC는 수백억 개의 트랜지스터를 집적하고 초당 수조 번의 연산을 수행해 카메라, 레이더, LiDAR 데이터를 실시간으로 처리합니다. 많은 신형 전기차와 로보택시 플랫폼이 이를 기반으로 구축되고 있습니다. 비전 기반 차량용 칩의 선구자인 Mobileye는 2025년 완전 자율주행을 목표로 EyeQ Ultra를 출시했으며, Qualcomm의 Snapdragon Ride 플랫폼은 여러 완성차 업체의 스마트 콕핏 및 ADAS 시스템에 채택되었습니다. 테슬라는 오토파일럿용 자체 FSD(완전 자율주행) 칩을 지속적으로 개발하며, 완성차 업체들이 차별화를 위해 직접 맞춤형 실리콘에 투자하는 트렌드를 보여주고 있습니다. 심지어 애플도(전기차/자율주행 시장 진출을 염두에 두고) 자동차용 칩을 개발 중이라는 소문이 있습니다.

공급망 측면에서, 완성차 업체와 정부는 2020~2021년의 칩 부족 사태에서 교훈을 얻었습니다. 자동차용 칩 전용 생산능력(구형이지만 매우 신뢰성 높은 공정 노드가 필요함) 확대가 추진되고 있습니다. 예를 들어 TSMC는 자동차용 MCU를 위한 28nm 및 16nm 생산능력을 확장했으며, 미국과 일본 등 정부 지원을 받는 신규 팹도 자동차 및 파워 반도체에 초점을 맞춰 계획되고 있습니다. 또한 도요타와 덴소의 칩 생산 협력, GM의 반도체 공급업체와의 협업 등 장기 공급망 확보를 위한 협력도 등장하고 있습니다.

요약하자면, 반도체는 자동차의 성능과 기능을 정의하는 데 있어 엔진만큼이나 중요한 요소가 되었습니다. 이는 단순히 시장 성장뿐만 아니라 혁신도 촉진하고 있습니다: 자동차용 칩은 이제 일부 분야에서 선도적인 위치에 있습니다 – 예를 들어, 극한의 온도와 긴 수명을 견뎌야 하므로 패키징 및 소재 기술을 발전시키고 있고, 차량 연결성(V2X 통신)은 첨단 RF 칩을 차량에 도입하고 있습니다. 2025년까지 자동차 IC 분야에서 뛰어난 역량을 가진 기업들이 자동차 산업의 미래에서 중심적인 역할을 하게 될 것이 분명합니다. “소프트웨어 정의 차량” 트렌드 – 새로운 기능이 강력한 차량 내 칩에 의존하는 소프트웨어 업데이트를 통해 제공되는 현상 – 역시 실리콘이 새로운 마력이다라는 점을 더욱 확고히 합니다. 한 보고서에 따르면, 자동차 반도체 매출은 향후 10년간 두 배로 증가할 것으로 예상됩니다 infosys.com, techinsights.com, 이는 그 기회를 강조합니다.

양자-고전 하이브리드 컴퓨팅

고전적인 실리콘 칩이 계속 진화하는 한편, 양자 컴퓨팅은 근본적으로 다른 패러다임으로 부상하고 있습니다 – 흥미롭게도, 양자와 고전 컴퓨팅의 통합이 2025년의 트렌드입니다. 양자 프로세서(큐비트)는 아직 한계가 있고 오류가 많기 때문에, 단기적인 비전은 하이브리드 시스템에서 양자 코프로세서가 고성능 고전 컴퓨터와 함께 작동하는 것입니다. 2025년의 주요 산업적 노력들은 이러한 융합을 반영합니다. 예를 들어, NVIDIA는 DGX Quantum을 발표했는데, 이는 자사의 최첨단 GPU 중 하나와 스타트업 Quantum Machines의 양자 컨트롤러를 긴밀하게 결합한 플랫폼으로, 양자-고전 알고리즘을 조정할 수 있게 해줍니다 quantum-machines.co. 이러한 구성은 알고리즘 실행 중에 양자 컴퓨터가 GPU(또는 그 반대)로 작업을 원활하게 넘길 수 있게 해주며, 이는 양자 AI 연구와 같은 분야에 매우 중요합니다. 마찬가지로 일본에서는 후지쯔와 RIKEN이 256큐비트 초전도 양자 컴퓨터를 고전 슈퍼컴퓨팅 플랫폼에 통합할 계획을 발표했으며, 기존 CPU/GPU가 문제의 일부를 처리하고 양자 칩이 양자 가속이 필요한 부분을 담당하는 하이브리드 양자 서비스를 제공하는 것을 목표로 하고 있습니다 fujitsu.com.

대형 클라우드 제공업체들도 하이브리드 API를 활용한 Quantum-as-a-Service를 구축하고 있습니다. 예를 들어, Microsoft의 Azure Quantum은 개발자가 Azure의 기존 컴퓨팅과 양자 하드웨어(파트너사 또는 Microsoft 자체 연구 장치)를 하나의 워크플로우에서 모두 사용할 수 있도록 합니다 news.microsoft.com. 이를 가능하게 하는 하드웨어에는 큐비트와 인터페이스하는 특수 control ICs that interface with qubits(종종 극저온에서 동작)와 양자 랙과 기존 서버 간의 고대역폭 연결이 포함됩니다. 칩 수준에서도 연구자들은 기존 및 양자 부품의 공동 패키징을 모색하고 있습니다. 예를 들어, 일부 실험적 설계는 큐비트 어레이를 해당 큐비트를 제어/읽는 CMOS 회로와 동일한 기판에 통합합니다. 본질적으로 초기 형태의 “Quantum SoCs”입니다.

또 다른 관점은 기업들이 기존 칩을 사용해 양자 알고리즘을 시뮬레이션하거나 가속하는 것입니다. IBM의 최신 양자 로드맵(IBM은 2021년에 127-큐비트 장치를 배치했고 2025년에는 1,000-큐비트 이상을 목표로 함)은 오류 수정 및 큐비트 제어를 위한 향상된 기존 전자장치, 예를 들어 극저온에서 동작 가능한 맞춤형 IC를 강조합니다. 흥미롭게도, quantum-inspired 알고리즘이 기존 슈퍼컴퓨터에서 실행되면서 프로세서 설계에도 영향을 주고 있습니다. 예를 들어, 일부 HPC 칩은 양자 회로 시뮬레이션을 반영하는 선형대수 작업에 최적화되고 있습니다.

따라서 “quantum-classical hybrid circuits”라는 표현은 과도기적 시대를 포착합니다. 양자 컴퓨터를 완전히 별개의 것으로 보는 대신, 현재는 integrated systems에 초점이 맞춰져 있습니다. 2025년에도 실용적인 양자 컴퓨팅은 아직 초기 단계이지만, 이러한 하이브리드 시도가 기반을 다지고 있습니다. 교차 발전의 한 예로, Microsoft의 위상 큐비트 연구는 Majorana 준입자를 호스팅하기 위해 인듐 비소와 알루미늄 같은 특이한 소재를 사용한 새로운 cryogenic chip (Majorana 1) 개발을 필요로 했습니다 news.microsoft.com. 이는 양자 하드웨어의 발전이 종종 칩 제조 및 소재 과학의 한계를 넓힌다는 점을 상기시켜줍니다.

요약하자면, quantum computing is not replacing classical chips in 2025, but augmenting them. 업계는 특정 작업(예: 신약 분자 시뮬레이션, 최적화 문제)에서 양자 가속기를 기존 프로세서와 함께 활용하는 방법을 모색 중입니다. IBM, Google, Intel, Microsoft, Amazon, IonQ, Rigetti와 같은 스타트업 등 모든 주요 기술 기업이 이 하이브리드 접근법을 추구하고 있습니다. 양자 하드웨어가 천천히 그러나 꾸준히 발전함에 따라 기존 IC와의 통합은 더욱 심화될 것입니다. 앞으로의 슈퍼컴퓨터에는 CPU/GPU 모듈 옆에 “QPU” 모듈이 탑재되고, 큐비트 언어를 구사하는 새로운 유형의 IC도 등장할 것으로 기대됩니다. 이는 앞으로 컴퓨팅의 정의를 바꿀 수 있는 초기이지만 흥미로운 트렌드입니다.

2025년의 주요 기업, 스타트업, 그리고 시장 역학

업계 거인들과 전략: 2025년 집적회로 산업의 판도는 소수의 거대 기업들이 대담한 행보를 보이면서 형성되고 있습니다:

  • 인텔: 오랜 역사의 x86 거인은 새로운 리더십 아래 대대적인 전환의 한가운데에 있습니다. 수년간의 제조 실수와 1986년 이후 첫 연간 적자(2024년 순손실 188억 달러)reuters.com 이후, 인텔은 전략을 대대적으로 재편했습니다. 오랜 CEO 팻 겔싱어(2021년 취임)는 2025년 Lip-Bu Tan에게 자리를 넘겼고, 그는 인텔의 파운드리 사업과 공정 로드맵을 재평가하는 데 지체하지 않았습니다reuters.com. 인텔이 내건 대담한 약속인 “4년 안에 5개 노드”가 시험대에 올랐습니다: Intel 7과 Intel 4 노드는 생산 중이고, Intel 3도 임박했지만, 가장 중요한 것은 20A와 18A(2nm급)로 2024~25년을 목표로 하고 있습니다. 로이터는 신임 CEO가 14A(1.4nm)로 초점 이동을 고려하고 있으며, 18A의 비중을 줄이고 수십억 달러의 연구개발비를 감수하더라도, 애플이나 NVIDIA 같은 외부 고객에게 더 경쟁력 있는 공정을 제공하려 한다고 보도했습니다reuters.com. 인텔은 주요 파운드리 고객을 확보하는 것이 미래의 핵심임을 잘 알고 있으며, 특히 다른 회사의 칩을 생산하기 위해 자사 팹을 개방하면서 선도적인 위탁 칩 제조업체가 되려 하고 있습니다. 이를 위해 2025년에는 놀라운 발전이 있었는데, 바로 인텔-TSMC 합작 투자 제안입니다: TSMC가 인텔 팹 운영을 인수(최대 50% 소유)하고 NVIDIA, AMD, Broadcom, Qualcomm 등 다른 기업들의 투자를 유치하는 방안을 제안했다고 합니다reuters.com. 이 계획은 미국 정부의 권장으로 추진된 것으로 보이며, TSMC의 전문성을 활용해 인텔의 제조를 전환하되(워싱턴은 인텔이 “완전히 외국 소유”가 되는 것을 원치 않음) 완전한 소유권은 넘기지 않는 것이 목적입니다reuters.com. 이런 합작 투자는 몇 년 전만 해도 상상할 수 없었지만, TSMC의 공정 우위 앞에서 인텔의 새로운 실용주의를 보여줍니다. 제품 측면에서 인텔은 GPU(ARC 그래픽 및 Ponte Vecchio 데이터센터 칩)와 특수 가속기(AI 및 네트워킹 칩) 분야에 집중 투자를 늘리고 있으며, 핵심 PC 및 서버 CPU 사업은 AMD와 경쟁하고 있습니다. 인텔이 칩렛과 이기종 통합(메테오 레이크 및 차세대 애로우 레이크 CPU에서 볼 수 있음)을 수용한 것도 또 다른 전략적 변화입니다. 정부 인센티브(CHIPS법) 덕분에 인텔은 오하이오, 애리조나, 독일에 신규 팹을 건설하며 파운드리 수주를 노리고 있습니다. 2025~2026년이 인텔이 기술 리더십을 되찾거나 더 뒤처질지 결정되는 “승부수”의 해라는 인식이 있으며, 그래서 파트너십과 구조조정에 절박함이 묻어납니다.
  • TSMC: 대만 반도체 제조 회사(Taiwan Semiconductor Manufacturing Company)는 여전히 비교할 수 없는 순수 파운드리 리더로, 애플, AMD, NVIDIA, 퀄컴 등 수많은 기업의 칩을 제조하고 있습니다. TSMC는 첨단 공정(대량 생산 7nm, 5nm, 3nm 최초 도입)에서의 뛰어난 역량으로 없어서는 안 될 존재가 되었습니다. 2025년, TSMC는 3nm(N3) 양산 확대를 실행 중이며, 애플은 2023년 말 A17 칩에 이를 신속히 도입했습니다. 또한 2nm(N2)를 2025년 하반기 위험 생산에 대비하고 있습니다 en.wikipedia.org. 꾸준히 새로운 공정을 제공하는 능력 덕분에 고객 충성도가 높습니다. 예를 들어, TSMC의 3nm 수율은 80~90%에 달하는 것으로 알려져 있으며, 이는 경쟁사 삼성보다 훨씬 높아 애플의 3nm 전량 수주 등 비즈니스 확보에 도움이 되었습니다 ts2.tech. TSMC의 현재 과제는 지리적 확장과 생산 능력입니다. 대만에 대한 지정학적 우려로 인해 TSMC는 해외 공장에 투자하고 있습니다. 애리조나(미국)구마모토(일본)에 공장을 건설 중입니다. 2024~25년 완공 예정인 애리조나 프로젝트는 지연과 비용 초과가 있었으나, TSMC는 미국 고객과 정부의 강력한 권유로 두 개의 공장(N4, 이후 N3 공정) 설립에 추가로 400억 달러를 투자하기로 약속했습니다. 2025년에는 TSMC가 미국 총 투자액을 1,000억 달러로 늘려 개의 신규 공장과 두 개의 첨단 패키징 시설을 향후 수년간 건설할 것이라는 보도도 나왔습니다 pr.tsmc.comfinance. yahoo.com. 유럽에서도 TSMC는 독일과 자동차용 공정에 초점을 맞춘 공장 설립을 논의 중이었습니다. 이러한 확장은 개최국 정부의 지원을 일부 받고 있습니다. TSMC는 효율성을 위해 대부분의 생산을 대만에 두었으나, 이번 글로벌 생산 거점 확대는 의미가 큽니다. 기술적으로도 TSMC는 다각화를 추진 중입니다. 5G RF 칩용 N6RF, 자동차용 N5A 등 특화 공정을 제공하고, 첨단 3D 패키징(자사의 SoICWoW – 웨이퍼 온 웨이퍼 적층 기술)에 투자하고 있습니다. TSMC 경영진은 GAA 트랜지스터, 3D 제조 등 혁신을 통해 무어의 법칙이 계속될 수 있다고 조심스럽게 낙관하면서도 비용 상승을 경고하고 있습니다. 재무적으로 TSMC는 매우 견고한 상태를 유지하고 있으나, 2023년에는 글로벌 재고 조정으로 매출이 소폭 감소했습니다. 2024~2025년에는 HPC와 자동차 수요에 힘입어 성장이 재개될 것으로 예상됩니다. 요약하면, 2025년의 TSMC는 글로벌 IC 공급망의 핵심이며, 기술(공정 로드맵 등)이나 전략(인텔 합작 가능성, 지역별 공장 등) 모두 업계 전체에 큰 영향을 미치고 있습니다.
  • 삼성전자: 삼성은 최첨단 파운드리 분야의 또 다른 주자입니다(최고의 메모리 칩 제조업체이기도 함). 2022년 3nm GAAFET로 앞서 나갔지만, 수율과 생산량에서 어려움을 겪었습니다. 2025년 삼성은 3nm 수율 개선(대형 고객 유치를 위해 – 예를 들어 구글의 Tensor G5 모바일 칩을 3nm으로 수주함 ts2.tech)에 집중하고, 2025~26년까지 2nm en.wikipedia.org 진입을 추진하고 있습니다. 하지만 업계에서는 대체로 삼성이 공정 준비 측면에서 TSMC보다 다소 뒤처져 있다고 보고 있습니다 ts2.tech. 삼성은 또한 제품 포트폴리오가 독특한데, 자체 모바일 프로세서(엑시노스), 이미지 센서 등을 설계하면서 타사 제품도 생산합니다. 2025년 삼성의 로직 부문은 고성능 컴퓨팅 주문(예: 일부 Nvidia 칩 생산, 특정 GPU 변형 또는 칩 패키징 라이선스 계약 등)으로 활력을 얻었습니다. 삼성의 메모리 사업(DRAM/NAND)은 침체를 겪었으나, AI가 고대역폭 메모리 수요를 견인하면서 회복이 기대됩니다(삼성은 GPU에 사용되는 HBM 및 고속 GDDR 메모리 분야의 선두주자임). 삼성의 주요 이니셔티브 중 하나는 메모리와 로직의 3D 집적으로, CPU 위에 DRAM을 직접 적층해 메모리 병목을 해소하는 기술을 시연한 바 있습니다. 또한 삼성은 MRAM 및 GAA 트랜지스터 등 2nm 이후를 위한 신소재 연구개발에도 지속 투자하고 있으며, 학계와 협력해 2D 소재도 탐구하고 있습니다. 상업적으로 삼성 파운드리는 팹리스 기업 고객 기반 확대를 목표로 하며, TSMC 외에 첨단 공정을 원하는 기업에 몇 안 되는 선택지 중 하나입니다. 한국 정부도 삼성(및 SK하이닉스)이 반도체 강국으로 남을 수 있도록 인재 및 연구개발 프로그램 등 국가 차원의 지원을 하고 있습니다.
  • AMD: 2025년에 AMD는 수년 전 내린 결정의 결실을 거두고 있습니다. AMD는 x86 CPU 분야에서 인텔의 강력한 경쟁자로 확고히 자리매김했으며, TSMC의 공정 우위와 AMD의 칩렛 설계 리더십을 활용한 Zen 4 및 Zen 5 제품군으로 PC 및 서버 시장에서 상당한 점유율을 확보하고 있습니다. AMD의 EPYC 서버 프로세서(제노아 및 그 이후 제품)은 최대 128코어를 탑재해, 종종 인텔 제온보다 뛰어난 가격 대비 성능을 제공하며, 주요 클라우드 제공업체와 기업들이 이를 채택하고 있습니다. GPU 부문에서 AMD의 라데온 그룹은 AI 분야에서 엔비디아에 뒤처져 있지만, 이를 변화시키기 위해 막대한 투자를 하고 있습니다. CEO 리사 수 박사 아래, AMD는 Xilinx(FPGA) 인수(2022년)Pensando(DPU) 등 전략적 인수를 통해 적응형 컴퓨팅 및 네트워킹 포트폴리오를 확장했습니다. 2025년에는 이러한 전략이 결실을 맺고 있습니다. AMD는 CPU, GPU, FPGA, SmartNIC 등 인텔이나 엔비디아에 필적하는 폭넓은 데이터센터용 실리콘 라인업을 제공할 수 있게 되었습니다. 2025년 AMD의 핵심 전략은 AI 가속기에 있습니다. MI300 APU는 CPU와 GPU, 대용량 HBM 메모리를 하나의 패키지에 결합해 HPC 및 AI 학습 작업을 겨냥합니다. 이어서 MI350 및 MI400 시리즈 GPU를 발표하며, 이전 세대 대비 AI 추론 성능이 최대 35배 향상되었다고 주장했습니다 finance.yahoo.com. 여전히 AI 분야에서는 NVIDIA가 인지도를 지배하고 있지만, AMD는 오픈 에코시스템 접근법(예: ROCm과 같은 오픈 소프트웨어 사용, MI300 기반 신제품 시스템에 오픈 네트워킹 표준 적용 및 독점 NVLink 대신 사용 reuters.com)을 통해 클라우드 AI 인프라의 실질적인 대안으로 자리매김하고 있습니다. AMD는 주요 하이퍼스케일러와의 긴밀한 파트너십(예: 마이크로소프트와의 AI 클라우드 인스턴스 발표, 메타·오라클 등과의 협업 reuters.com)을 통해 어느 정도 성과를 내고 있음을 보여줍니다. 재무적으로 AMD는 2022~2024년 동안 빠르게 성장했으며, 2025년에는 PC 시장 부진으로 클라이언트 PC 부문은 정체될 수 있지만, 데이터센터 및 임베디드(Xilinx) 부문은 강세를 보일 전망입니다. 한 가지 과제는 전 세계 AI 칩 수요로 인해 파운드리(위탁생산) 용량이 부족한 상황에서 TSMC로부터 충분한 공급을 확보하는 것입니다. AMD는 또한 칩렛 및 3D 다이 기술을 지속적으로 선도하고 있습니다. 고성능 및 고효율 코어를 혼합한 하이브리드 CPU(다른 공정의 칩렛 조합 가능)와 3D 적층 캐시 또는 로직의 활용 확대 등 다양한 계획을 갖고 있습니다. 전반적으로 2025년의 AMD는 10년 전과는 완전히 달라진 기업으로, CPU 분야의 혁신 리더이자 반도체 업계 전반에서 강력한 경쟁자로 평가받고 있습니다.
  • NVIDIA: NVIDIA의 부상은 업계를 정의하는 주요 이야기 중 하나였으며, 2025년에는 AI 붐을 바탕으로 1조 달러 기업이라는 드문 지위에 올랐습니다. “팹리스” GPU 거인은 사실상 AI 가속기 시장을 장악하고 있습니다 – A100과 H100 데이터센터 GPU는 전 세계 AI 연구소의 주력 장비가 되었으며(미국이 중국에 대한 수출 규제를 이 칩에 집중할 정도였습니다). 2025년에는 NVIDIA의 AI 하드웨어 수요가 너무 높아 데이터센터 운영자들이 공급 확보에 분주하고 있습니다. NVIDIA의 데이터센터 매출은 사상 최고치를 기록했으며, 주가는 2023~24년에 약 3배 상승했습니다. CEO 젠슨 황은 고전적인 CPU 중심 컴퓨팅이 “가속 컴퓨팅”으로 전환되고 있다고 비전을 제시했습니다. 여기서 GPU와 특수 가속기가 특히 AI 분야에서 주된 역할을 한다는 것입니다. 제품 측면에서 NVIDIA의 L40S 및 H100 GPU(TSMC의 4N 및 5N 공정 기반)은 대량 출하되고 있으며, 차세대 “Blackwell” 아키텍처 GPU(2025~26년 출시 예상)도 준비 중으로, 또 한 번의 성능 도약을 예고하고 있습니다. NVIDIA는 플랫폼 전략도 확장하고 있습니다. 단순히 칩만 제공하는 것이 아니라 DGX H100 서버와 같은 완제품 시스템, 심지어 AI 슈퍼컴퓨터(예: NVIDIA의 자체 DGX Cloud)까지 제공합니다. 또한 NVIDIA는 일부 경우 GPU IP 라이선스를 시작했고, 소프트웨어 스택의 일부도 개방했습니다 – 예를 들어, 오픈 스탠더드 압박이 커지면서 NVLink 인터커넥트를 타사가 통합할 수 있도록 허용할 수 있음을 시사했습니다 reuters.com. 아마도 가장 주목할 만한 전략적 행보는: NVIDIA가 처음으로 미국 내 칩 생산 계획을 발표한 것입니다. 앞으로 수년간 수천억 달러를 투자해 TSMC, 폭스콘 등과 협력하여 애리조나 등지에 첨단 패키징 및 생산 시설을 구축할 예정입니다 manufacturingdive.com. 황은 “세계 AI 인프라의 엔진이 처음으로 미국에서 만들어지고 있다”고 말하며, AI 칩 수요 증가와 공급망 회복력 강화를 위해 국내 생산이 얼마나 중요한지 강조했습니다 manufacturingdive.com. 이는 미국의 정책 목표와도 일치하며(미국 정부가 관세와 보조금으로 국내 제조를 추진하는 가운데), 자동차 분야에서는 NVIDIA의 Drive 플랫폼이 상당한 채택을 이끌었고, 클라우드 게임 및 전문가용 그래픽 분야에서도 NVIDIA가 여전히 선두를 달리고 있습니다. NVIDIA가 진출한 또 다른 분야는 CPU입니다 – Grace CPU(Arm 기반)는 HPC 시스템에서 GPU와 함께 사용될 예정으로, 일부 시장에서 기존 CPU 벤더와의 잠재적 경쟁을 시사합니다. 요약하면, 2025년의 NVIDIA는 엄청난 영향력을 지니고 있습니다: AI 컴퓨팅의 방향을 주도하며 하드웨어와 소프트웨어를 공동 설계하고 있습니다. 그러나 AI 칩 스타트업 및 다른 대기업과의 잠재적 경쟁, 지정학적 리스크(중국 수출 통제, 데이터센터 GPU의 20~25% 시장이었던 중국 등)라는 도전도 안고 있습니다. 하지만 현재로서는 NVIDIA의 입지는 견고해 보이며, 황은 “전체 스택”(실리콘, 시스템, 소프트웨어)에서 혁신함으로써 NVIDIA가 업계 표준을 계속 앞서나갈 수 있다고 자신 있게 말합니다 techcrunch.com.
  • Qualcomm: 스마트폰 칩의 왕이 다변화하는 시장에 적응하고 있다. Qualcomm의 Snapdragon SoC는 여전히 많은 안드로이드 폰과 태블릿에 탑재되어 있으며, 고성능 CPU(Arm 코어), Adreno GPU, AI DSP, 5G 모뎀, ISP 등 다양한 기능을 하나의 칩에 결합해 제공한다. 2025년, Qualcomm의 최신 Snapdragon 8 Gen 시리즈( TSMC 4nm 공정 기반)는 온디바이스 AI에 중점을 두고 있으며, 회사는 대형 언어 모델을 휴대폰에서 구동하는 데모를 선보였다. 하지만 전 세계 스마트폰 출하량은 이미 성숙 단계에 접어들었기 때문에, Qualcomm은 자동차 및 IoT 분야로 공격적으로 확장했다. 자동차 사업부(Snapdragon Digital Chassis)는 수십억 달러 규모의 수주 파이프라인을 보유하고 있으며, 완성차 업체에 커넥티비티, 인포테인먼트, ADAS 칩을 공급한다. 예를 들어, Qualcomm은 GM과 BMW에 시스템을 공급하는 계약을 따냈고, 자동차 부문 매출이 빠르게 성장하고 있다. IoT 및 웨어러블 부문에서는 AR/VR 헤드셋, 스마트워치, 산업용 IoT 애플리케이션을 위한 칩 변형 제품을 개발 중이다. 전환점은 2021년 Qualcomm이 Nuvia를 인수한 것이었는데, 이 스타트업은 고급 Arm CPU 코어 설계를 보유하고 있었다. 2025년까지 Qualcomm은 Nuvia 기술을 기반으로 한 맞춤형 Oryon CPU 코어를 출시해 노트북 성능을 높이고, 효율성 면에서 Apple의 M 시리즈 칩에 도전할 것으로 예상된다. 성공한다면, Qualcomm은 2024~2025년에 Windows PC용 경쟁력 있는 Arm 기반 칩으로 노트북/PC 시장에 재진입해 Intel/AMD가 지배하는 공간에서 틈새를 확보할 수 있다. 또 다른 분야는 RISC-V다. Qualcomm은 특정 IP에서 Arm 의존도를 줄이기 위해 RISC-V 마이크로컨트롤러(예: 블루투스 칩)를 실험적으로 적용하고 있다. 세계 최고의 팹리스 IC 설계사(매출 기준, Qualcomm은 글로벌 팹리스 기업 중 1위로 평가됨 semimedia.cc)인 만큼, Qualcomm의 전략적 행보는 업계의 주목을 받고 있다. 2025년 Qualcomm은 특허 라이선스 분쟁(예: Nuvia 기술을 둘러싼 Arm과의 ongoing 소송)과 안드로이드 SoC 시장에서의 경쟁 심화(MediaTek, Google의 Tensor 등)에 직면해 있지만, 폭넓은 포트폴리오와 무선(5G Advanced 및 6G 준비) 분야의 리더십으로 선두를 유지하고 있다. 재무적으로는 2021년 5G 스마트폰 수요로 호황을 누렸으나, 2023년에는 성장세가 둔화되었고, 2025년에는 스마트폰 재고가 정상화되고 자동차/IoT 성장세가 본격화되며 안정될 전망이다. 요약하면, Qualcomm은 무선 DNA와 SoC 전문성을 바탕으로 스마트폰 시장 정체 이후에도 지배적 위치를 유지하며, 새로운 성장 동력을 모색하고 있다.
  • 애플: 전통적인 반도체 회사는 아니지만, 애플이 IC(집적회로) 세계에 미치는 영향은 막대하다. 애플은 TSMC의 최대 고객이며, 소비자 기기에서 맞춤형 실리콘이 달성할 수 있는 새로운 기준을 세웠다. 애플이 맥용으로 자체 M1/M2 시리즈 칩(5nm 및 5nm+)을 제작하기로 한 결정은 인상적인 와트당 성능으로 입증되었으며, 2025년에는 맥용으로 M3 (3nm), 아이폰용으로는 A18 (3nm 또는 2nm)가 적용될 가능성이 높다. 애플의 전략은 칩을 사내에서 설계해 소프트웨어에 완벽하게 맞추는 ‘타이트 통합’으로, 휴대폰과 PC에서 벤치마크 선두의 CPU, 그래픽, AI 가속기를 만들어낸다. 이는 인텔, AMD, 퀄컴 등 경쟁사에 압박을 주고 있다(실제로 애플의 성공은 퀄컴이 PC용 Arm 코어 강화를 위해 Nuvia를 인수하게 만든 계기가 됐다). 애플은 자체 보조 실리콘도 설계한다: 맞춤형 이미지 프로세서, 뉴럴 엔진, 연결 칩(자체 5G 모뎀도 개발 중이나, 이 프로젝트는 지연을 겪고 있다). 2025년에는 애플이 자체 셀룰러 모뎀 칩을 준비해 아이폰에서 퀄컴 칩을 대체할 것이라는 소문이 있다 – 성공한다면 도전적이지만 판도를 바꿀 수 있는 움직임이다. 또한, 애플의 증강현실(비전 프로 헤드셋) 진출도 M2 및 새로운 R1 센서-퓨전 칩과 같은 맞춤형 칩에 의존한다. 애플의 이러한 행보는 더 넓은 트렌드를 보여준다: 시스템 기업들이 차별화를 위해 칩 설계에 수직 통합하고 있다는 점이다. 애플은 규모와 자원 덕분에 이 분야에서 독보적이지만, 테슬라(자동차 FSD 칩), 아마존(Graviton 서버 CPU) 등도 각자의 영역에서 이 패턴을 따르고 있다. 시장 역학 측면에서, 애플의 막대한 반도체 구매(연간 수십억 달러)와 첨단 공정 독점 사용(아이폰 칩을 위해 TSMC 최신 공정을 가장 먼저 확보하는 경우가 많음)은 업계 전체의 수요/공급에 영향을 미친다. 예를 들어, 2023~2024년 애플의 TSMC 3nm 채택은 초기에는 타사에 할당될 수 있는 용량을 거의 남기지 않아, 이들의 제품 일정에 영향을 주었다. 따라서 애플은 칩을 외부에 판매하지는 않지만, 반도체 트렌드의 핵심 플레이어다 – 패키징 혁신을 주도(예: M1 Ultra는 두 개의 M1 Max 다이를 실리콘 인터포저로 연결, 첨단 패키징을 선보임)하거나, 단순히 소비자들의 성능 기대치를 높이는 등. 2025년에도 애플은 매년 칩 성능을 개선하는 행보를 이어갈 것이며, 새로운 카테고리(더 많은 웨어러블이나 AR 기기 등)로도 놀라움을 줄 수 있다 – 이 모든 것은 유명한 칩 팀(대부분 전 PA-Semi 및 업계 베테랑) 주도의 실리콘 설계 엔진이 뒷받침한다.

스타트업 활동 및 신규 진입자: 반도체 분야의 활발한 혁신은 기존 업체에만 국한되지 않는다. 최근 몇 년간 수십억 달러의 벤처 자금이 반도체 스타트업에 유입되었으며, 이는 종종 “칩 스타트업 붐”(2000년대의 긴 침체 이후)으로 불린다. 2025년이 되면 이들 스타트업 중 일부는 성과를 내고, 일부는 자본 집약적 산업에서의 치열한 경쟁 현실에 직면한다. 스타트업들이 주목하는 몇 가지 분야는 다음과 같다:

  • AI 가속기: 이 분야는 스타트업들 사이에서 가장 뜨거운 영역이었다. Graphcore(영국), SambaNova(미국), Cerebras(미국), Mythic(미국, 아날로그 컴퓨팅), Horizon Robotics(중국), Biren Technology(중국) 등 많은 기업들이 AI 작업에 특화된 칩을 만들기 위해 등장했다. 각 회사는 고유한 아키텍처적 접근 방식을 가지고 있다 – Graphcore는 다수의 코어를 가진 IPU와 대용량 온칩 메모리, Cerebras는 한 번에 대형 네트워크를 학습할 수 있는 기록적인 웨이퍼 크기 칩(85만 코어), Mythic은 아날로그 인메모리 컴퓨팅 등이다. 2025년까지 이들 중 일부는 틈새 시장을 찾았다(예: Cerebras는 일부 연구소에서 사용되고 있으며, 그 기술이 중동의 합작 벤처에 채택되기도 했다). 하지만 NVIDIA의 지배력은 높은 장벽이었다. 그럼에도 불구하고, 새로운 스타트업들은 계속 등장하고 있으며, 종종 엣지 AI, 저전력, 프라이버시 중심 AI와 같은 특정 AI 틈새 시장을 겨냥한다. 2025년의 흥미로운 신규 진입자는 Tenstorrent(전설적인 칩 아키텍트 Jim Keller가 이끄는 곳)로, RISC-V 기반 AI/CPU 하이브리드 칩을 설계하고 있다 – 이는 교차 융합의 대표적 사례로, 기존 기업들과의 파트너십(예: 삼성에서 일부 설계를 생산할 예정)도 맺고 있다.
  • RISC-V 및 오픈 하드웨어: RISC-V ISA의 부상은 RISC-V 기반 프로세서와 마이크로컨트롤러를 만드는 많은 스타트업을 탄생시켰다. SiFive(RISC-V 발명가들이 설립)는 설계 IP와 맞춤형 코어를 제공하며, 2025년에는 SiFive IP가 자동차 칩, IoT 컨트롤러, NASA 차세대 우주 프로세서에도 사용된다. 중국에서는 RISC-V 스타트업이 급증했다(예: StarFive, Alibaba의 T-Head, Nuclei 등). 이는 제재 속에서 자국산 CPU 대안을 모색하는 움직임 때문이다 eetimes.com. 유럽에서도 기술 주권을 위한 정부 지원에 힘입어 RISC-V 벤처가 등장했다 eetimes.com. 미국의 Ventana, Esperanto와 같이 고성능 RISC-V 서버 CPU에 집중하는 스타트업들도 데이터센터에서 Arm과 x86에 도전하고 있다. 아직 초기 단계이지만, 일부 RISC-V 칩은 첨단 공정에서 테이프아웃되어 성능 면에서 가능성을 보이고 있다. 오픈소스 하드웨어 운동은 CPU를 넘어 GPU, 오픈 AI 가속기 등으로 확장되고 있으나, 효과적인 수익화가 과제로 남아 있다. 2025년 기준 RISC-V International은 수천 명의 회원(2025년 기준 4,600명 이상)csis.org을 보유하고 있으며, 생태계는 더 나은 소프트웨어 지원(Linux 배포판, RISC-V용 Android 등)과 함께 성숙해지고 있다eetimes.comeetimes.com. 이 분야의 스타트업들은 종종 혁신과 지정학적 순풍을 타고 있으며, 여러 국가가 외국 IP 의존도를 줄이기 위해 RISC-V에 자금을 지원하고 있다.
  • 아날로그 및 광자 컴퓨팅: 디지털 패러다임을 벗어나, 일부 스타트업들은 특화된 이점을 위해 아날로그 또는 광학 컴퓨팅을 탐구하고 있습니다. Mythic은 앞서 언급했듯이 아날로그 플래시 기반 AI 추론을 시도했으나(2023년에 재정적 어려움을 겪음), LightmatterLightOn은 칩 위에 광자 기술을 통합하여 빛의 속도로 AI를 가속하는 광학 가속기를 개발 중입니다. 2025년까지 Lightmatter는 일부 연구소에서 사용 중인 작동하는 광학 가속기를 보유하고 있습니다. 이들은 아직 주류가 되지는 않았지만, 무어의 법칙의 한계를 비전통적인 방식으로 극복하려는 스타트업 분야의 창의성을 보여주는 고위험·고수익 도전입니다. 이와 유사하게, 양자 컴퓨팅 스타트업(예: Rigetti, IonQ, D-Wave의 양자 어닐링 등)도 확장된 반도체 스타트업 생태계의 일부로 볼 수 있지만, 이들의 장치는 기존 IC와는 매우 다르게 작동합니다.
  • 칩렛 및 IP 혁신 기업: 일부 신생 기업들은 칩렛 및 첨단 패키징 인프라에 집중하고 있습니다. 예를 들어, Astera Labs(최근 성공한 스타트업)은 프로세서와 가속기, 메모리를 연결하는 칩렛 유사 PCIe/CXL 연결 솔루션을 만듭니다. 이러한 “글루 칩”은 점점 더 중요해지고 있습니다. SiFive(앞서 언급)나 Arm 스핀오프와 같은 스타트업도 칩렛 시대에 핵심적인 IP 공급자 역할을 합니다(타사가 통합할 수 있는 코어 설계 판매). Universal Chiplet Interconnect Express (UCIe) 컨소시엄과 같은 노력도 표준화된 다이-투-다이 인터페이스 생태계 구축을 위해 스타트업의 참여를 이끌고 있습니다.

전반적으로, 2025년 반도체 스타트업 시장은 활기차다고 할 수 있습니다. 일부 지역에서는 벤처 캐피털과 정부 보조금의 지원을 받고 있습니다. 이들 스타트업의 상당수는 업계 베테랑들이 창업했는데, 실제로 “인텔 엑소더스”가 스타트업 창업을 촉진하는 한 트렌드가 되었습니다. 인텔 등 대기업이 구조조정을 하면서 숙련된 엔지니어들이 퇴사해 스타트업을 창업하거나 합류했으며, EE Times의 한 기사에서는 이를 “엑소더스의 밝은 면”이라고 표현했습니다. 이는 신생 벤처에 인재를 공급하는 효과를 가져왔습니다 eetimes.com. 물론 모두가 살아남는 것은 아닙니다. 제조 비용과 특정 시장(예: AI)에서 기존 강자의 지배력은 도전 과제가 됩니다. 하지만 스타트업이 대기업을 대체하지 못하더라도, 종종 새로운 아이디어를 주도해 업계에 채택되곤 합니다. 예를 들어, 칩렛 개념은 수십 년 전 소규모 기업들이 처음 도입했으며, 이제는 업계 표준이 되었습니다. 마찬가지로 RISC-V도 학술 프로젝트에서 스타트업의 에너지와 커뮤니티 노력으로 상업적 영향력을 갖게 되었습니다.

시장 역학 관점에서 또 다른 핵심 주제는 통합 vs. 전문화입니다. 2020~2022년에는 대형 인수합병이 있었습니다(NVIDIA의 Arm 인수 시도, AMD의 Xilinx 인수, Intel의 Tower 인수 등). 2025년 현재, 규제 당국은 특히 지정학적 영향이 큰 대형 인수합병에 더 엄격한 입장을 취하고 있습니다(Arm-NVIDIA 거래는 2022년에 무산됨). 그럼에도 불구하고 업계에는 소수의 지배적인 대기업과 함께 다양한 전문 기업들이 공존하고 있습니다. 힘의 균형은 제조 접근성(팹 공간은 한정된 자원)과 고객 접근성(생태계 락인, 소프트웨어 지원이 중요 – 예: NVIDIA의 CUDA, Intel/AMD의 x86 호환성 등)에 의해 좌우됩니다.

시장 역학에서도 메모리 부문을 무시할 수 없습니다. 삼성, SK하이닉스, 마이크론과 같은 대형 메모리 제조사들은 경기 하강기를 겪었지만, 이제 새로운 수요(특히 AI는 메모리 집약적임)에 대비하고 있습니다. 2025년에는 마이크론이 차세대 DDR5 및 GDDR7용 High-NA EUV 기반 DRAM 샘플링을 시작하고, SK하이닉스는 AI 가속기용 HBM3 메모리에서 선두를 달리고 있습니다. 또한 신흥 비휘발성 메모리(MRAM, ReRAM 등)이 IoT나 SoC 내 임베디드 메모리로서 마침내 틈새시장을 찾고 있다는 점도 주목받고 있습니다.

이 모든 요소들이 2025년 반도체 산업 구조를 역동적으로 만듭니다: 성장을 이끄는 거대한 기회와 동시에 치열한 경쟁, 그리고 지정학적 복잡성이 공존하고 있습니다. 이에 대해 다음에서 다루겠습니다.

IC 산업을 형성하는 지정학적 및 규제적 힘

2025년 집적회로(반도체) 산업은 진공 속에 존재하지 않습니다. 이 산업은 글로벌 정치, 국가 안보, 국제 무역 정책과 깊이 얽혀 있습니다. 실제로 반도체는 미중 기술 갈등의 중심 전선이자 전 세계 산업 정책의 초점이 되었습니다. 이와 관련된 주요 동향은 다음과 같습니다:

  • 수출 통제 및 기술 제한: 2022년부터 2023~2025년까지 미국(네덜란드, 일본 등 동맹국과 함께)은 중국에 대한 첨단 반도체 및 장비의 수출 통제를 대대적으로 시행했습니다. 이 규정은 기업들이 중국에 최첨단 AI 칩(예: NVIDIA의 A100/H100, 단 성능이 낮은 버전 제외) 판매를 금지하고, EUV 리소그래피 장비 등 첨단 반도체 제조 장비의 수출도 금지합니다. 2025년에는 미국 정부가 국가 안보를 이유로 더 많은 AI 칩과 일부 칩 설계 소프트웨어까지 규제를 확대했습니다 csis.org, sidley.com. 이러한 조치는 중국의 첨단 컴퓨팅 기술 진보(특히 군사·감시용 AI 칩 등)를 저지하는 데 목적이 있습니다. 중국은 이에 항의하며 맞대응에 나섰습니다. 예를 들어, 2023년에는 미국 메모리 대기업 마이크론에 대한 사이버보안 심사를 실시하고, 일부 마이크론 제품을 핵심 인프라에서 금지했는데, 이는 보복 조치로 널리 해석됩니다. 또한 2025년에는 중국이 NVIDIA 등 미국 기업에 대한 조사를 시작해, 거대한 내수 시장을 협상 카드로 활용할 수 있음을 시사했습니다 eetimes.com. 추가로, 2023년 중국은 반도체 및 광학에 사용되는 원자재(갈륨, 저마늄 등) 수출 통제를 시행하며 서방의 조치에 대응, 공급망의 상호 연결성을 보여주었습니다.
  • 중국의 기술 자립 추진: 첨단 칩에서 차단된 중국은 자체 반도체 생태계 구축 노력을 배가하고 있습니다. 여기에는 대규모 국책 투자(수십억 달러 규모의 “빅펀드” 3기 출범으로 현지 칩 기업 지원), 팹(반도체 공장) 건설 보조금, 그리고 외국 IP를 대체하기 위한 RISC-V와 같은 오픈 기술 지원이 포함됩니다. 앞서 언급했듯이, 중국은 RISC-V를 명시적으로 “기술적 자립을 달성하고 지정학적 긴장 속에서 서방이 통제하는 ISA에 대한 의존도를 줄이기 위해” 수용하고 있습니다eetimes.com. SMIC와 같은 중국 칩 제조업체들은 구형 DUV 장비를 사용해 7nm급 노드를 생산하는 데 성공한 것으로 알려져 있습니다(2022년 MinerVA 비트코인 채굴기 칩 분해에서 확인), 다만 생산 능력은 제한적입니다. 2025년까지 SMIC는 EUV 없이도 5nm급 공정에 도전할 수 있지만, 수율은 낮을 것으로 보입니다. 중국 정부는 2025년까지 반도체 자급률 70%와 같은 야심찬 목표를 세웠으나, 달성은 어렵겠지만 성숙 노드에서는 진전이 이루어지고 있습니다. 화웨이는 2020년 TSMC로부터 차단된 이후, 2023년 SMIC가 제조한 7nm Kirin 9000s SoC가 탑재된 스마트폰(Mate 60 Pro)을 출시해 업계에 놀라움을 안겼습니다. 이는 중국이 가진 자원으로 어떻게든 해내려 한다는 신호이지만, 대량 생산이나 첨단 기술과의 동등성은 아직 아닙니다. 또한 인재 측면도 있습니다. 중국은 해외 유학 엔지니어를 대거 유치했고, 심지어 학습 곡선을 단축하기 위해 IP 절도에까지 연루된 것으로 알려져 있습니다. 지정학적으로 이는 매우 위험한 경쟁, 즉 “칩 군비 경쟁”에 비유할 수 있으며, 미국은 2~3세대 격차 유지를, 중국은 따라잡거나 대체 기술 경로를 찾으려 하고 있습니다.
  • Chips Acts와 온쇼어링: 미국은 2022년에 CHIPS and Science Act를 통과시켜 국내 반도체 연구개발 및 제조를 지원하기 위해 520억 달러를 배정했습니다. 2025년까지 이 법은 여러 신규 반도체 공장 프로젝트로 결실을 맺고 있습니다: 오하이오에 건설 중인 인텔의 두 개 공장, 애리조나에 있는 TSMC의 공장(생산은 약 2025~26년으로 지연), 텍사스에서의 삼성의 확장, 그리고 GlobalFoundries 등 기타 기업의 생산능력 확대가 그 예입니다. CHIPS Act는 실제로 인텔 CEO에 의해 “제2차 세계대전 이후 미국에서 가장 중요한 산업 정책 법안” mitsloan.mit.edu로 평가받고 있습니다. 팻 겔싱어는 그 전략적 근거를 강조했습니다: “지난 50년간 지정학은 석유에 의해 정의되었지만… 앞으로 50년 동안 디지털 미래를 위해 기술 공급망이 석유보다 더 중요해질 것이다.” mitsloan.mit.edu. 즉, 반도체 생산을 국내(또는 동맹국)에서 확보하는 것이 이제 경제 및 국가 안보에 필수적인 것으로 인식되고 있습니다. 유럽 또한 EU Chips Act(430억 유로 프로그램)를 출범시켜 2030년까지 전 세계 반도체 생산 점유율을 두 배로 늘리고 신규 공장(독일 마그데부르크에 계획된 인텔의 대형 공장, 프랑스의 STMicro/GlobalFoundries 등)을 지원하고 있습니다. 2025년까지 인텔은 독일로부터 약 100억 유로의 보조금 인상을 협상하여 공장 건설을 추진했으며, 이는 각국이 이러한 첨단 기술 투자를 유치하기 위해 얼마나 경쟁적인지를 보여줍니다. 일본은 Rapidus 컨소시엄(소니, 도요타 등 기업과 정부 투자 포함)을 설립해 IBM의 지원을 받아 2027년까지 2nm 공장을 개발하려 하고 있습니다. 이는 일본에서 첨단 로직 제조를 부활시키려는 대담한 시도입니다. 이에 뒤지지 않으려는 한국도 향후 10년간 4,500억 달러를 투자하는 자체 인센티브를 발표했으며(주로 삼성과 SK하이닉스 중심), 인도 정부도 인도 내 반도체 공장 설립을 위해 100억 달러를 제시했으나 글로벌 파트너와의 시도는 아직까지 차질을 빚고 있습니다. 이처럼 국가 주도의 활발한 움직임은 중대한 변화를 보여줍니다: 수십 년간의 세계화와 동아시아 집중 이후, 반도체 생산이 지리적으로 – 느리지만 분명하게 – 다변화되고 있으며, 각국 정부가 반도체 산업 기반 성장을 적극적으로 주도하고 있습니다.
  • 무역 동맹과 “프렌드쇼어링”: 지정학적 긴장으로 인해 반도체를 중심으로 한 새로운 동맹이 형성되고 있습니다. 미국, 일본, 한국, 대만(비공식적으로), 유럽은 수출 통제와 공급망 보안에 대해 협력하고 있습니다. 네덜란드(ASML 본사)와 일본(니콘, 도쿄일렉트론 등 본사)은 2023년 초 미국의 대중 반도체 장비 수출 규제를 따라 중국을 첨단 리소그래피에서 사실상 차단하기로 합의했습니다. 또한 공급망 회복력 강화를 위한 “Chip 4” 동맹(미국, 대만, 일본, 한국) 논의도 있습니다. 프렌드쇼어링은 제조를 우방국으로 이전하는 것을 의미합니다. 실제로 TSMC와 삼성은 미국(우방국)과 잠재적으로 유럽에 투자하고 있으며, 미국의 팹리스 기업들은 특정 지역에 대한 과도한 의존에서 벗어나기 위해 다각화를 모색하고 있습니다. 하지만 이는 복잡합니다. 대만이 여전히 핵심 축(최첨단 칩의 90% 이상이 대만 TSMC에서 생산됨)이기 때문입니다. 세계는 대만에서 분쟁이 발생할 경우 글로벌 기술 경제가 뒤흔들릴 것임을 잘 알고 있습니다. 이러한 위험이 바로 기업들이 보험 차원에서 온쇼어 생산에 더 많은 비용을 지불하는 데 동의하는 주요 요인 중 하나입니다. 예를 들어, 애플은 전략적 다각화 차원에서 TSMC의 애리조나 공장에서 칩을 구매하기로 약속했습니다(초기에는 대만 공장보다 기술적으로 한 단계 뒤처질 가능성이 높음). 마찬가지로, TSMC의 애리조나 및 일본 진출 역시 일부 생산을 더 안전한 지역에 두기 위해 주요 고객/정부의 요청에 따른 것입니다.
  • 국가 안보와 규제: 각국은 반도체 관련 투자와 지적 재산권 심사를 강화하고 있습니다. 미국은 미국인의 중국 반도체 기업 근무를 제한하는 방안을 검토했으며, 미국 기업(케이던스, 시놉시스)이 주도하는 EDA 소프트웨어와 칩 설계 도구에 대한 중국 기업의 접근도 제한했습니다. 반대로 중국은 군민융합 프로그램을 강화해 상업 기술을 국방에 활용하고 있습니다. 2025년에도 수출 통제 정책은 계속 진화하고 있습니다. 예를 들어, 미국 상무부는 첨단 AI 모델 가중치의 특정 국가 수출까지 통제하는 규정을 도입했습니다(clearytradewatch.com, sidley.com) – 이는 AI와 반도체가 정책적으로 얼마나 밀접하게 연관되어 있는지 보여줍니다. 규제 감시는 대형 인수합병(앞서 언급)과 공급망 관행에도 집중되고 있습니다. 정부는 의료, 인프라 등 핵심 칩의 갑작스러운 부족을 막기 위해 투명성을 원하고 있습니다.
  • 기업에 미치는 영향: 미국 반도체 기업들(NVIDIA, AMD, Lam Research, Applied Materials 등)은 수출 금지로 인해 일부 중국 비즈니스를 잃으면서 매출 전망을 조정해야 했다. 일부 기업은 중국 시장을 위해 저사양 버전을 만들어 대응하고 있다(예: NVIDIA의 A800 및 H800 칩이 중국 시장용으로 A100/H100을 대체, 성능 임계값 이하로 인터커넥트 제한). 화웨이, 알리바바 등 중국 기업들은 제약을 우회하기 위해 칩렛 아키텍처(여러 개의 저사양 칩을 조합해 고성능 구현)나 소프트웨어 최적화(적은 자원으로 더 많은 성능을 내는 방식)에 집중하며 경쟁하고 있다. 한편, 대만과 한국 기업들은 동맹국의 요구를 따르면서도 거대한 중국 시장을 완전히 잃지 않기 위해 미묘한 입장에 놓여 있다. 유럽에서는 자동차 업체 등 다양한 기업들이 아시아 반도체 의존도를 절감하고자 현지 반도체 사업을 적극적으로 지원하고 있다.

요컨대, 2025년 IC 산업은 기술만큼이나 지정학의 영향을 받고 있다. “칩 전쟁”이라는 표현이 일반적으로 쓰일 정도로, 반도체 주도권은 이제 국가들에게 최고의 전략적 목표가 되었다. 앞으로 몇 년간 이러한 정책이 얼마나 효과적인지 드러날 것이다. 즉, 기술 생태계가 (서방 주도와 중국 주도)로 양분되어 표준과 공급망이 분리될 것인가? 아니면 긴장 속에서도 글로벌 협력이 지속될 것인가? 지금까지의 흐름은 부분적 디커플링이다. 중국은 자립에 막대한 자원을 투입하고, 서방은 중국의 첨단 기술 접근을 제한하며, 모든 진영이 뒤처지지 않기 위해 대규모 투자를 하고 있다. 확실한 것은 반도체가 “전략적 자산”으로 인식되었다는 점이다. Pat Gelsinger는 이렇게 말했다. “지구의 아주 작은 지역에 전 세계가 극도로 의존하고 있다… 이는 공급망의 회복력에 좋지 않다.” mitsloan.mit.edu 따라서 이러한 의존도를 재조정하기 위한 다양한 조치가 쏟아지고 있다.

결론 및 전망

요약하자면, 2025년은 집적회로(IC) 분야의 이정표가 되는 해로, 놀라운 기술 발전높아진 전략적 중요성이 특징이다. 기술 측면에서는 무어의 법칙이 재해석되고 있다. 칩렛, 3D 적층, 새로운 트랜지스터 설계, AI 및 컴퓨팅 성능을 비약적으로 높이는 도메인 특화 아키텍처 등이 그 예다. 칩은 그 어느 때보다 빠르고 특화되어 생성형 AI부터 자율주행차까지 혁신을 가능하게 한다. 동시에 반도체 산업은 글로벌 경쟁과 협력의 중심이 되었다. 각국 정부는 반도체가 현대 경제와 군사력의 기반임을 인식하고 그 어느 때보다 적극적으로 투자하고 있다. 이는 새로운 파트너십(및 경쟁)을 촉진하고, 반도체 생산의 장소와 방식을 재편하고 있다.

일반 대중에게 이러한 발전이 주는 의미는 매우 큽니다. 더 강력하고 효율적인 IC는 더 나은 소비자 기기, 더 스마트한 인프라, 그리고 AI 비서나 더 안전한 자율주행차와 같은 새로운 가능성의 실현을 의미합니다. 하지만 우리는 또한 칩이 헤드라인을 장식하는 시대에 들어섰습니다. 자동차 가격에 영향을 미치는 칩 부족 사태든, 국가 간 실리콘 기술력 경쟁이든 말이죠. “실리콘은 새로운 석유다”라는 표현이 mitsloan.mit.edu에서처럼, 이 작은 부품들이 삶과 지정학의 모든 측면에서 얼마나 중요한지 잘 보여줍니다.

앞을 내다보면, 혁신은 계속될 것으로 보입니다. 2020년대 후반에는 1 nm급 공정(2027~2028년경)en.wikipedia.org, 아마도 최초의 상업용 양자 가속기가 데이터 센터에 통합되고, 첨단 IC 덕분에 엣지 디바이스에서 AI가 널리 채택될 것입니다. 또한 오늘날의 신소재 및 컴퓨팅 패러다임 연구의 결실이 제품으로 나타나기 시작할 수도 있습니다. 2030년까지 업계는 1조 달러 연간 매출 목표deloitte.com를 AI, 자동차, IoT 등 다양한 수요에 힘입어 달성하고자 합니다. 2025년이 그 지표라면, 그 목표를 향한 여정은 눈부신 기술적 돌파구복잡한 전략적 움직임이 공존할 것입니다.

한 가지는 분명합니다. 집적회로는 여전히 디지털 혁명의 심장부에 있으며, 이에 대한 세계의 기대와 의존도는 그 어느 때보다 높아졌습니다. 새로운 칩이나 공정 하나하나는 단순한 공학적 성취가 아니라, 미래 혁신의 토대이자 글로벌 경쟁의 한 걸음입니다. 이 개요를 마치며, 2025년 IC 산업은 그 어느 때보다 역동적이며, 진정으로 과학, 비즈니스, 지정학의 교차로에 서 있습니다. 이는 모든 차원에서 우리 세상을 변화시키는 실리콘 혁명입니다.

출처:

semimedia.cc, deloitte.com, techcrunch.com, techcrunch.com, reuters.com, reuters.com, reuters.com, reuters.com, mitsloan.mit.edu, mitsloan.mit.edu, ts2.tech, ts2.tech, community.cadence.com, community.cadence.com, microchipusa.com, eetimes.com

AI, Chiplets, and the Future of Semiconductors

Don't Miss

Rewinding the Clock: How Yamanaka Factors Are Resetting Aging Cells

시계를 되돌리다: 야마나카 인자가 노화 세포를 리셋하는 방법

신야 야마나카는 2006년에 성숙한 세포를 만능 줄기세포로 재프로그래밍하기 위해 OSKM
How CRISPR Is Curing the Incurable – The Gene Editing Revolution Transforming Medicine

CRISPR가 불치병을 치료하다 – 의학을 혁신하는 유전자 편집 혁명

2012년 Dr. Jennifer Doudna와 Dr. Emmanuelle Charpentier가 CRISPR/Cas9 시스템이 시험관